OpenCores
URL https://opencores.org/ocsvn/cpu8080/cpu8080/trunk

Subversion Repositories cpu8080

[/] [cpu8080/] [trunk/] [project/] [vgachr.v] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 samiam9512
`timescale 1ns / 1ps
2
//////////////////////////////////////////////////////////////////////////////////
3
// Company: 
4
// Engineer: 
5
// 
6
// Create Date:    12:02:03 10/22/2006 
7
// Design Name: 
8
// Module Name:    vgachr 
9
// Project Name: 
10
// Target Devices: 
11
// Tool versions: 
12
// Description: 
13
//
14
// Dependencies: 
15
//
16
// Revision: 
17
// Revision 0.01 - File Created
18
// Additional Comments: 
19
//
20
//////////////////////////////////////////////////////////////////////////////////
21
 
22
////////////////////////////////////////////////////////////////////////////////
23
//
24
// TERMINAL EMULATOR
25
//
26
// Emulates an ADM 3A dumb terminal, with a MITS serial I/O board interface.
27
// Two ports are emulated:
28
//
29
// 0: Control
30
// 1: Data
31
//
32
// The MITS serial card has all of its configuration performed by jumpers on the
33
// card, which means there is no programming configuration required. The data
34
// to the terminal is sent out of the data port, while the data from the 
35
// keyboard, which is not yet implemented, is read from the same data port.
36
// The parity is ignored on output, and set to 0 on input.
37
//
38
// The control register ignores all writes, and returns $80 if the terminal is
39
// busy, otherwise $00. This is the output ready to send bit. The busy bit
40
// reflects if the state machine is processing an operation. This is a cheat
41
// that only works with our emulated terminal/serial board pair, because 
42
// normally there is no way for the local CPU to know that the remote terminal
43
// is busy. This can lead to problems in the real world, and it's why Unix
44
// "termcap" terminal descriptions commonly have waiting periods perscribed
45
// time consuming operations like screen clear. This emulated terminal is
46
// "ideal" in that it accounts for all of this automatically, but it does not
47
// hurt the realisim of the emulation. An application that performs delays based
48
// on real terminal operations won't be incorrect because it performs a delay,
49
// but a stupid application that relies on this "smart" implementation might
50
// fail to run on the real thing.
51
//
52
 
53
//
54
// Terminal height and width
55
//
56
`define scnchrs 80 // width
57
`define scnlins 24 // height
58
 
59
//
60
// Terminal states
61
//
62
`define term_idle    6'h00 // idle
63
`define term_wrtstd2 6'h01 // write standard character #2
64
`define term_wrtstd3 6'h02 // write standard character #3
65
`define term_clear   6'h03 // clear screen and home cursor
66
`define term_clear2  6'h04 // clear screen and home cursor #2
67
`define term_clear3  6'h05 // clear screen and home cursor #3
68
`define term_clear4  6'h06 // clear screen and home cursor #4
69
 
70
module terminal(addr, data, write, read, select, r, g, b, hsync_n, vsync_n,
71
                reset, clock);
72
 
73
   input        addr;    // control reg/data reg address
74
   inout [7:0]  data;    // CPU data
75
   input        write;   // CPU write
76
   input        read;    // CPU read
77
   input        select;  // controller select
78
   input        reset;   // CPU reset
79
   input        clock;   // CPU clock
80
   output [2:0] r, g, b; // R,G,B color output buses
81
   output       hsync_n; // horizontal sync pulse
82
   output       vsync_n; // vertical sync pulse
83
 
84
   reg [5:0]   state;   // terminal state machine
85
   reg [10:0]  cursor;  // cursor address
86
   reg [7:0]   chrdatw; // character write data
87
   reg         outrdy;  // output ready to send
88
   reg         wrtchr;  // character ready to write
89
   reg  [7:0]  datao;   // intermediate for data output
90
 
91
   // character map communication bus
92
   reg  [10:0] cmaddr;  // character map address to read or write
93
   reg         cmread;  // character map read from address
94
   reg         cmwrite; // character map write from address
95
   wire  [7:0] cmdata;  // character map data to be written/read
96
   reg   [7:0] cmdatai; // character map data to be written
97
   reg         cmdatae; // character map data enable
98
 
99
   // instantiate memory mapped character display
100
   chrmemmap display(!reset, clock, r, g, b, hsync_n, vsync_n, cmaddr, cmread,
101
                     cmwrite, cmdata);
102
 
103
   // instantiate ps/2 keyboard
104
   ps2_kbd vgai(.clk(clock), .rst(reset), .ps2_clk(ps2_clk), .ps2_data(ps2_data),
105
                .scancode(scancode), .parity(parity), .busy(busy), .rdy(rdy),
106
                .error(error));
107
 
108
//   always @(posedge clock)
109
   always @(negedge clock)
110
      if (reset) begin // reset
111
 
112
         // on reset, we set the state machine to perform a screen clear and
113
         // home cursor
114
         state <= `term_clear; // set to clear screen
115
         cursor <= 0; // set cursor to home
116
         outrdy <= 0; // set not ready to send
117
         wrtchr <= 0; // set no character to write
118
         cmread <= 0; // clear read character
119
         cmwrite <= 0; // clear write character
120
         cmdatae <= 0; // no enable character map data
121
 
122
   end else if (write&select) begin // CPU write
123
 
124
      if (addr) begin
125
 
126
         chrdatw <= data & 8'h7f; // set character write data without parity
127
         wrtchr <= 1; // character ready to write
128
         outrdy <= 0; // remove ready to send
129
 
130
      end
131
 
132
   end else if (read&select) begin // CPU read
133
 
134
      if (addr) datao <= 0; // no keyboard data implemented yet
135
      else datao <= !outrdy << 7; // return output ready status
136
 
137
   end else case (state) // run output state
138
 
139
      `term_idle: begin // idle waiting for character
140
 
141
         // We wait for the cpu cycle to end before running the state machine
142
         // write procedure. This allows this module to run at full speed, while
143
         // the rest of the CPU logic runs slow. The vga logic must run at a 
144
         // fixed speed because it has the display to run, but the rest can be
145
         // slow to allow debugging.
146
         if (wrtchr&!select) begin // process character after CPU goes away
147
 
148
            if (chrdatw >= 8'h20 && chrdatw != 8'h7f) begin
149
 
150
               // write standard (non-control) character
151
               cmaddr <= cursor; // set address at cursor
152
               cmdatai <= chrdatw; // place character data to write
153
               cmdatae <= 1; // enable data to memory
154
               outrdy <= 0; // set not ready to send
155
               state <= `term_wrtstd2; // continue
156
 
157
            end else begin // control character, just dump it for now
158
 
159
               wrtchr <= 0; // remove ready to write
160
               outrdy <= 1; // set ready to send
161
 
162
            end
163
 
164
         end
165
 
166
      end
167
 
168
      `term_wrtstd2: begin // write standard character #2
169
 
170
         cmwrite <= 1; // set write to memory
171
         state <= `term_wrtstd3; // continue
172
 
173
      end
174
 
175
      `term_wrtstd3: begin // write standard character #3
176
 
177
         cmwrite <= 0; // remove write to memory
178
         cmdatae <= 0; // release data enable to memory
179
         outrdy <= 1; // set ready to send
180
         cursor <= cursor+1; // advance cursor
181
         wrtchr <= 0; // remove ready to write
182
         state <= `term_idle; // continue
183
 
184
      end
185
 
186
      `term_clear: begin // clear screen and home cursor
187
 
188
         cmaddr <= 0; // clear buffer address
189
         cmdatai <= 8'h20; // clear to spaces
190
         cmdatae <= 1; // enable data to memory
191
         state <= `term_clear2; // continue
192
 
193
      end
194
 
195
      `term_clear2: begin // clear screen and home cursor #2
196
 
197
         cmwrite <= 1; // set write to memory
198
         state <= `term_clear3; // continue
199
 
200
      end
201
 
202
      `term_clear3: begin // clear screen and home cursor #2
203
 
204
         cmwrite <= 0; // reset write to memory
205
         state <= `term_clear4; // continue
206
 
207
      end
208
 
209
      `term_clear4: begin // clear screen and home cursor #4
210
 
211
         if (cmaddr < `scnchrs*`scnlins) begin
212
 
213
            cmaddr <= cmaddr+1; // next character
214
            // Uncomment the next to put an incrementing pattern instead of
215
            // spaces.
216
            //cmdatai <= cmdatai+1;
217
            state <= `term_clear2; // continue
218
 
219
         end else begin // done
220
 
221
            outrdy <= 1; // set ready to send
222
            cursor <= 0; // set cursor to home position
223
            cmdatae <= 0; // release data enable to memory
224
            state <= `term_idle; // continue
225
 
226
         end
227
 
228
      end
229
 
230
      default: state <= 6'bx;
231
 
232
   endcase
233
 
234
   // Enable drive to character memory
235
   assign cmdata = cmdatae ? cmdatai: 8'bz;
236
 
237
   // Enable drive for data output
238
   assign data = read&select ? datao: 8'bz;
239
 
240
endmodule
241
 
242
////////////////////////////////////////////////////////////////////////////////
243
//
244
// MEMORY MAPPED CHARACTER DISPLAY
245
//
246
// Contains the 20x8 characters used in a 640x480, 25 lines of 80 characters
247
// display. This display is accessed vi a 1920 character memory, which can be 
248
// written and read. It is dual port, so has no restrictions on read and write.
249
//
250
// This block can either be directly mapped to CPU main memory, or can be run
251
// via a terminal emulator.
252
//
253
 
254
module chrmemmap(rst_n, clk, r, g, b, hsync_n, vsync_n, addr, read, write,
255
                 data);
256
 
257
      input         rst_n;   // reset
258
      input         clk;     // master clock
259
      output [2:0]  r, g, b; // R,G,B color output buses
260
      output        hsync_n; // horizontal sync pulse
261
      output        vsync_n; // vertical sync pulse
262
      input  [10:0] addr;    // address to read or write
263
      input         read;    // read from address
264
      input         write;   // write from address
265
      inout  [7:0]  data;    // data to be written/read
266
 
267
   reg [15:0] pixeldata; // 16 bit pixel feed
268
 
269
   reg  [6:0]  chrcnt;  // character counter
270
   reg  [4:0]  rowcnt;  // character row counter
271
   reg  [4:0]  lincnt;  // line counter
272
   reg  [10:0] scnadr;  // screen character buffer address
273
   reg  [7:0]  curchr;  // current character indexed by scnadr
274
   reg  [1:0]  fchsta;  // character fetch state, 0 = load high, 1 = load low
275
   wire [10:0] chradr;  // character generator address
276
   wire [7:0]  chrdata; // character generator data
277
   reg  [7:0]  datao;   // intermediate for data output
278
 
279
   // storage for character based screen
280
 
281
   reg [7:0] scnbuf[1919:0];
282
 
283
   assign rst = ~rst_n; // change reset polarity
284
 
285
   vga vgai(.rst(rst), .clk(clk), .pixel_data_in(pixeldata), .rd(rd), .eof(eof),
286
            .r(r), .g(g), .b(b), .hsync_n(hsync_n), .vsync_n(vsync_n),
287
            .blank(blank));
288
 
289
   chrrom crom(chradr, chrdata); // place character generator
290
 
291
   // run the character to screen scan
292
   always @(posedge clk)
293
      if (rst|eof) begin // if reset or end of frame
294
 
295
      chrcnt <= 7'h0; // clear counters
296
      rowcnt <= 5'h0;
297
      lincnt <= 5'h0;
298
      scnadr <= 11'h0;
299
      fchsta <= 0;
300
 
301
   end else if (rd || fchsta) begin
302
 
303
      if (fchsta == 1 || fchsta == 3) begin
304
 
305
         // advance counters
306
         if (chrcnt < 79) chrcnt <= chrcnt+1; // next character count
307
         else begin // next row
308
 
309
            chrcnt <= 0; // reset character
310
            if (rowcnt < 19) rowcnt <= rowcnt+1; // next character row
311
            else begin // next line
312
 
313
               rowcnt <= 0; // reset row
314
               lincnt <= lincnt+1; // next line
315
               scnadr <= scnadr+80; // advance character fetch
316
 
317
            end
318
 
319
         end
320
 
321
      end
322
 
323
      // Choose high or low character, and next state. Note we have to flip the
324
      // characters left to right to be correct.
325
      case (fchsta)
326
 
327
         0: fchsta <= 1; // delay until rd cycle is over
328
 
329
         1: begin
330
 
331
            // set low bits of pixel register
332
            pixeldata <=  { chrdata[0], chrdata[1], chrdata[2], chrdata[3],
333
                            chrdata[4], chrdata[5], chrdata[6], chrdata[7] };
334
            fchsta <= 2; // next state
335
 
336
         end
337
 
338
         2: fchsta <= 3; // delay a cycle for ROM read time
339
 
340
         3: begin
341
 
342
            // set just high bits of pixel register
343
            pixeldata <=
344
               pixeldata & 16'h00ff |
345
               { chrdata[0], chrdata[1], chrdata[2], chrdata[3],
346
                 chrdata[4], chrdata[5], chrdata[6], chrdata[7] } << 8;
347
            fchsta <= 0; // back to start
348
 
349
         end
350
 
351
      endcase
352
 
353
   end
354
 
355
   // operate dual port screen character RAM
356
   always @(posedge clk) begin
357
 
358
      // set current indexed character without parity
359
      assign curchr = scnbuf[scnadr+chrcnt] & 8'h7f;
360
      if (write) scnbuf[addr] <= data;
361
      datao <= scnbuf[addr];
362
 
363
   end
364
 
365
   // create character address from character in buffer and current row
366
   assign chradr =
367
      (curchr < 8'h20 || curchr == 8'h7f) ? 11'h0: (curchr-8'h20)*20+rowcnt;
368
 
369
   // Enable drive for data output
370
   assign data = read ? datao: 8'bz;
371
 
372
endmodule
373
 
374
////////////////////////////////////////////////////////////////////////////////
375
//
376
// CHARACTER ROM
377
//
378
// Contains the 20x8 characters used in a 640x480, 25 lines of 80 characters
379
// display.
380
//
381
 
382
module chrrom(addr, data);
383
 
384
   input  [10:0] addr;
385
   output [7:0]  data;
386
 
387
   reg [7:0]  data;
388
 
389
   always @(addr) case (addr)
390
 
391
      // character set starting with space, and ending with '~'
392
 
393
      11'h000: data = 8'b00000000; // ' '
394
      11'h001: data = 8'b00000000;
395
      11'h002: data = 8'b00000000;
396
      11'h003: data = 8'b00000000;
397
      11'h004: data = 8'b00000000;
398
      11'h005: data = 8'b00000000;
399
      11'h006: data = 8'b00000000;
400
      11'h007: data = 8'b00000000;
401
      11'h008: data = 8'b00000000;
402
      11'h009: data = 8'b00000000;
403
      11'h00A: data = 8'b00000000;
404
      11'h00B: data = 8'b00000000;
405
      11'h00C: data = 8'b00000000;
406
      11'h00D: data = 8'b00000000;
407
      11'h00E: data = 8'b00000000;
408
      11'h00F: data = 8'b00000000;
409
      11'h010: data = 8'b00000000;
410
      11'h011: data = 8'b00000000;
411
      11'h012: data = 8'b00000000;
412
      11'h013: data = 8'b00000000;
413
 
414
      11'h014: data = 8'b00000000; // '!'
415
      11'h015: data = 8'b00010000;
416
      11'h016: data = 8'b00010000;
417
      11'h017: data = 8'b00010000;
418
      11'h018: data = 8'b00010000;
419
      11'h019: data = 8'b00010000;
420
      11'h01A: data = 8'b00010000;
421
      11'h01B: data = 8'b00010000;
422
      11'h01C: data = 8'b00010000;
423
      11'h01D: data = 8'b00010000;
424
      11'h01E: data = 8'b00010000;
425
      11'h01F: data = 8'b00000000;
426
      11'h020: data = 8'b00000000;
427
      11'h021: data = 8'b00010000;
428
      11'h022: data = 8'b00010000;
429
      11'h023: data = 8'b00000000;
430
      11'h024: data = 8'b00000000;
431
      11'h025: data = 8'b00000000;
432
      11'h026: data = 8'b00000000;
433
      11'h027: data = 8'b00000000;
434
 
435
      11'h028: data = 8'b00000000; // '"'
436
      11'h029: data = 8'b00101000;
437
      11'h02A: data = 8'b00101000;
438
      11'h02B: data = 8'b00000000;
439
      11'h02C: data = 8'b00000000;
440
      11'h02D: data = 8'b00000000;
441
      11'h02E: data = 8'b00000000;
442
      11'h02F: data = 8'b00000000;
443
      11'h030: data = 8'b00000000;
444
      11'h031: data = 8'b00000000;
445
      11'h032: data = 8'b00000000;
446
      11'h033: data = 8'b00000000;
447
      11'h034: data = 8'b00000000;
448
      11'h035: data = 8'b00000000;
449
      11'h036: data = 8'b00000000;
450
      11'h037: data = 8'b00000000;
451
      11'h038: data = 8'b00000000;
452
      11'h039: data = 8'b00000000;
453
      11'h03A: data = 8'b00000000;
454
      11'h03B: data = 8'b00000000;
455
 
456
      11'h03C: data = 8'b00000000; // '#'
457
      11'h03D: data = 8'b00100100;
458
      11'h03E: data = 8'b00100100;
459
      11'h03F: data = 8'b00100100;
460
      11'h040: data = 8'b00100100;
461
      11'h041: data = 8'b01111110;
462
      11'h042: data = 8'b00100100;
463
      11'h043: data = 8'b00100100;
464
      11'h044: data = 8'b00100100;
465
      11'h045: data = 8'b00100100;
466
      11'h046: data = 8'b01111110;
467
      11'h047: data = 8'b00100100;
468
      11'h048: data = 8'b00100100;
469
      11'h049: data = 8'b00100100;
470
      11'h04A: data = 8'b00100100;
471
      11'h04B: data = 8'b00000000;
472
      11'h04C: data = 8'b00000000;
473
      11'h04D: data = 8'b00000000;
474
      11'h04E: data = 8'b00000000;
475
      11'h04F: data = 8'b00000000;
476
 
477
      11'h050: data = 8'b00000000; // '$'
478
      11'h051: data = 8'b00010000;
479
      11'h052: data = 8'b00111100;
480
      11'h053: data = 8'b01010010;
481
      11'h054: data = 8'b01010000;
482
      11'h055: data = 8'b01010000;
483
      11'h056: data = 8'b00110000;
484
      11'h057: data = 8'b00011000;
485
      11'h058: data = 8'b00010100;
486
      11'h059: data = 8'b00010010;
487
      11'h05A: data = 8'b00010010;
488
      11'h05B: data = 8'b00010010;
489
      11'h05C: data = 8'b01010100;
490
      11'h05D: data = 8'b00111000;
491
      11'h05E: data = 8'b00010000;
492
      11'h05F: data = 8'b00000000;
493
      11'h060: data = 8'b00000000;
494
      11'h061: data = 8'b00000000;
495
      11'h062: data = 8'b00000000;
496
      11'h063: data = 8'b00000000;
497
 
498
      11'h064: data = 8'b00000000; // '%'
499
      11'h065: data = 8'b01110010;
500
      11'h066: data = 8'b01010010;
501
      11'h067: data = 8'b01110100;
502
      11'h068: data = 8'b00000100;
503
      11'h069: data = 8'b00001000;
504
      11'h06A: data = 8'b00001000;
505
      11'h06B: data = 8'b00001000;
506
      11'h06C: data = 8'b00010000;
507
      11'h06D: data = 8'b00010000;
508
      11'h06E: data = 8'b00010000;
509
      11'h06F: data = 8'b00100000;
510
      11'h070: data = 8'b00101110;
511
      11'h071: data = 8'b01001010;
512
      11'h072: data = 8'b01001110;
513
      11'h073: data = 8'b00000000;
514
      11'h074: data = 8'b00000000;
515
      11'h075: data = 8'b00000000;
516
      11'h076: data = 8'b00000000;
517
      11'h077: data = 8'b00000000;
518
 
519
      11'h078: data = 8'b00000000; // '&'
520
      11'h079: data = 8'b00110000;
521
      11'h07A: data = 8'b01001000;
522
      11'h07B: data = 8'b01001000;
523
      11'h07C: data = 8'b01010000;
524
      11'h07D: data = 8'b00100000;
525
      11'h07E: data = 8'b00100000;
526
      11'h07F: data = 8'b01100000;
527
      11'h080: data = 8'b01010000;
528
      11'h081: data = 8'b01010000;
529
      11'h082: data = 8'b01001000;
530
      11'h083: data = 8'b01001000;
531
      11'h084: data = 8'b01000100;
532
      11'h085: data = 8'b00100100;
533
      11'h086: data = 8'b00011010;
534
      11'h087: data = 8'b00000000;
535
      11'h088: data = 8'b00000000;
536
      11'h089: data = 8'b00000000;
537
      11'h08A: data = 8'b00000000;
538
      11'h08B: data = 8'b00000000;
539
 
540
      11'h08C: data = 8'b00000000; // '''
541
      11'h08D: data = 8'b00010000;
542
      11'h08E: data = 8'b00010000;
543
      11'h08F: data = 8'b00000000;
544
      11'h090: data = 8'b00000000;
545
      11'h091: data = 8'b00000000;
546
      11'h092: data = 8'b00000000;
547
      11'h093: data = 8'b00000000;
548
      11'h094: data = 8'b00000000;
549
      11'h095: data = 8'b00000000;
550
      11'h096: data = 8'b00000000;
551
      11'h097: data = 8'b00000000;
552
      11'h098: data = 8'b00000000;
553
      11'h099: data = 8'b00000000;
554
      11'h09A: data = 8'b00000000;
555
      11'h09B: data = 8'b00000000;
556
      11'h09C: data = 8'b00000000;
557
      11'h09D: data = 8'b00000000;
558
      11'h09E: data = 8'b00000000;
559
      11'h09F: data = 8'b00000000;
560
 
561
      11'h0A0: data = 8'b00000000; // '('
562
      11'h0A1: data = 8'b00000010;
563
      11'h0A2: data = 8'b00000100;
564
      11'h0A3: data = 8'b00001000;
565
      11'h0A4: data = 8'b00010000;
566
      11'h0A5: data = 8'b00100000;
567
      11'h0A6: data = 8'b01000000;
568
      11'h0A7: data = 8'b01000000;
569
      11'h0A8: data = 8'b01000000;
570
      11'h0A9: data = 8'b01000000;
571
      11'h0AA: data = 8'b00100000;
572
      11'h0AB: data = 8'b00010000;
573
      11'h0AC: data = 8'b00001000;
574
      11'h0AD: data = 8'b00000100;
575
      11'h0AE: data = 8'b00000010;
576
      11'h0AF: data = 8'b00000000;
577
      11'h0B0: data = 8'b00000000;
578
      11'h0B1: data = 8'b00000000;
579
      11'h0B2: data = 8'b00000000;
580
      11'h0B3: data = 8'b00000000;
581
 
582
      11'h0B4: data = 8'b00000000; // ')'
583
      11'h0B5: data = 8'b01000000;
584
      11'h0B6: data = 8'b00100000;
585
      11'h0B7: data = 8'b00010000;
586
      11'h0B8: data = 8'b00001000;
587
      11'h0B9: data = 8'b00000100;
588
      11'h0BA: data = 8'b00000010;
589
      11'h0BB: data = 8'b00000010;
590
      11'h0BC: data = 8'b00000010;
591
      11'h0BD: data = 8'b00000010;
592
      11'h0BE: data = 8'b00000100;
593
      11'h0BF: data = 8'b00001000;
594
      11'h0C0: data = 8'b00010000;
595
      11'h0C1: data = 8'b00100000;
596
      11'h0C2: data = 8'b01000000;
597
      11'h0C3: data = 8'b00000000;
598
      11'h0C4: data = 8'b00000000;
599
      11'h0C5: data = 8'b00000000;
600
      11'h0C6: data = 8'b00000000;
601
      11'h0C7: data = 8'b00000000;
602
 
603
      11'h0C8: data = 8'b00000000; // '*'
604
      11'h0C9: data = 8'b01000010;
605
      11'h0CA: data = 8'b01000010;
606
      11'h0CB: data = 8'b00100100;
607
      11'h0CC: data = 8'b00100100;
608
      11'h0CD: data = 8'b00100100;
609
      11'h0CE: data = 8'b00011000;
610
      11'h0CF: data = 8'b00011000;
611
      11'h0D0: data = 8'b01111110;
612
      11'h0D1: data = 8'b00011000;
613
      11'h0D2: data = 8'b00011000;
614
      11'h0D3: data = 8'b00100100;
615
      11'h0D4: data = 8'b00100100;
616
      11'h0D5: data = 8'b01000010;
617
      11'h0D6: data = 8'b01000010;
618
      11'h0D7: data = 8'b00000000;
619
      11'h0D8: data = 8'b00000000;
620
      11'h0D9: data = 8'b00000000;
621
      11'h0DA: data = 8'b00000000;
622
      11'h0DB: data = 8'b00000000;
623
 
624
      11'h0DC: data = 8'b00000000; // '+'
625
      11'h0DD: data = 8'b00000000;
626
      11'h0DE: data = 8'b00000000;
627
      11'h0DF: data = 8'b00010000;
628
      11'h0E0: data = 8'b00010000;
629
      11'h0E1: data = 8'b00010000;
630
      11'h0E2: data = 8'b00010000;
631
      11'h0E3: data = 8'b01111100;
632
      11'h0E4: data = 8'b00010000;
633
      11'h0E5: data = 8'b00010000;
634
      11'h0E6: data = 8'b00010000;
635
      11'h0E7: data = 8'b00010000;
636
      11'h0E8: data = 8'b00000000;
637
      11'h0E9: data = 8'b00000000;
638
      11'h0EA: data = 8'b00000000;
639
      11'h0EB: data = 8'b00000000;
640
      11'h0EC: data = 8'b00000000;
641
      11'h0ED: data = 8'b00000000;
642
      11'h0EE: data = 8'b00000000;
643
      11'h0EF: data = 8'b00000000;
644
 
645
      11'h0F0: data = 8'b00000000; // ','
646
      11'h0F1: data = 8'b00000000;
647
      11'h0F2: data = 8'b00000000;
648
      11'h0F3: data = 8'b00000000;
649
      11'h0F4: data = 8'b00000000;
650
      11'h0F5: data = 8'b00000000;
651
      11'h0F6: data = 8'b00000000;
652
      11'h0F7: data = 8'b00000000;
653
      11'h0F8: data = 8'b00000000;
654
      11'h0F9: data = 8'b00000000;
655
      11'h0FA: data = 8'b00000000;
656
      11'h0FB: data = 8'b00000000;
657
      11'h0FC: data = 8'b00000000;
658
      11'h0FD: data = 8'b00000000;
659
      11'h0FE: data = 8'b00010000;
660
      11'h0FF: data = 8'b00010000;
661
      11'h100: data = 8'b00100000;
662
      11'h101: data = 8'b00000000;
663
      11'h102: data = 8'b00000000;
664
      11'h103: data = 8'b00000000;
665
 
666
      11'h104: data = 8'b00000000; // '-'
667
      11'h105: data = 8'b00000000;
668
      11'h106: data = 8'b00000000;
669
      11'h107: data = 8'b00000000;
670
      11'h108: data = 8'b00000000;
671
      11'h109: data = 8'b01111110;
672
      11'h10A: data = 8'b00000000;
673
      11'h10B: data = 8'b00000000;
674
      11'h10C: data = 8'b00000000;
675
      11'h10D: data = 8'b00000000;
676
      11'h10E: data = 8'b00000000;
677
      11'h10F: data = 8'b00000000;
678
      11'h110: data = 8'b00000000;
679
      11'h111: data = 8'b00000000;
680
      11'h112: data = 8'b00000000;
681
      11'h113: data = 8'b00000000;
682
      11'h114: data = 8'b00000000;
683
      11'h115: data = 8'b00000000;
684
      11'h116: data = 8'b00000000;
685
      11'h117: data = 8'b00000000;
686
 
687
      11'h118: data = 8'b00000000; // '.'
688
      11'h119: data = 8'b00000000;
689
      11'h11A: data = 8'b00000000;
690
      11'h11B: data = 8'b00000000;
691
      11'h11C: data = 8'b00000000;
692
      11'h11D: data = 8'b00000000;
693
      11'h11E: data = 8'b00000000;
694
      11'h11F: data = 8'b00000000;
695
      11'h120: data = 8'b00000000;
696
      11'h121: data = 8'b00000000;
697
      11'h122: data = 8'b00000000;
698
      11'h123: data = 8'b00000000;
699
      11'h124: data = 8'b00000000;
700
      11'h125: data = 8'b00000000;
701
      11'h126: data = 8'b00010000;
702
      11'h127: data = 8'b00000000;
703
      11'h128: data = 8'b00000000;
704
      11'h129: data = 8'b00000000;
705
      11'h12A: data = 8'b00000000;
706
      11'h12B: data = 8'b00000000;
707
 
708
      11'h12C: data = 8'b00000000; // '/'
709
      11'h12D: data = 8'b00000000;
710
      11'h12E: data = 8'b00000000;
711
      11'h12F: data = 8'b00000010;
712
      11'h130: data = 8'b00000010;
713
      11'h131: data = 8'b00000100;
714
      11'h132: data = 8'b00000100;
715
      11'h133: data = 8'b00001000;
716
      11'h134: data = 8'b00001000;
717
      11'h135: data = 8'b00010000;
718
      11'h136: data = 8'b00010000;
719
      11'h137: data = 8'b00100000;
720
      11'h138: data = 8'b00100000;
721
      11'h139: data = 8'b01000000;
722
      11'h13A: data = 8'b01000000;
723
      11'h13B: data = 8'b00000000;
724
      11'h13C: data = 8'b00000000;
725
      11'h13D: data = 8'b00000000;
726
      11'h13E: data = 8'b00000000;
727
      11'h13F: data = 8'b00000000;
728
 
729
      11'h140: data = 8'b00000000; // '0'
730
      11'h141: data = 8'b00111100;
731
      11'h142: data = 8'b01000010;
732
      11'h143: data = 8'b01000010;
733
      11'h144: data = 8'b01000110;
734
      11'h145: data = 8'b01000110;
735
      11'h146: data = 8'b01001010;
736
      11'h147: data = 8'b01001010;
737
      11'h148: data = 8'b01010010;
738
      11'h149: data = 8'b01010010;
739
      11'h14A: data = 8'b01100010;
740
      11'h14B: data = 8'b01100010;
741
      11'h14C: data = 8'b01000010;
742
      11'h14D: data = 8'b01000010;
743
      11'h14E: data = 8'b00111100;
744
      11'h14F: data = 8'b00000000;
745
      11'h150: data = 8'b00000000;
746
      11'h151: data = 8'b00000000;
747
      11'h152: data = 8'b00000000;
748
      11'h153: data = 8'b00000000;
749
 
750
      11'h154: data = 8'b00000000; // '1'
751
      11'h155: data = 8'b00001000;
752
      11'h156: data = 8'b00011000;
753
      11'h157: data = 8'b00101000;
754
      11'h158: data = 8'b00001000;
755
      11'h159: data = 8'b00001000;
756
      11'h15A: data = 8'b00001000;
757
      11'h15B: data = 8'b00001000;
758
      11'h15C: data = 8'b00001000;
759
      11'h15D: data = 8'b00001000;
760
      11'h15E: data = 8'b00001000;
761
      11'h15F: data = 8'b00001000;
762
      11'h160: data = 8'b00001000;
763
      11'h161: data = 8'b00001000;
764
      11'h162: data = 8'b01111110;
765
      11'h163: data = 8'b00000000;
766
      11'h164: data = 8'b00000000;
767
      11'h165: data = 8'b00000000;
768
      11'h166: data = 8'b00000000;
769
      11'h167: data = 8'b00000000;
770
 
771
      11'h168: data = 8'b00000000; // '2'
772
      11'h169: data = 8'b00111100;
773
      11'h16A: data = 8'b01000010;
774
      11'h16B: data = 8'b00000010;
775
      11'h16C: data = 8'b00000010;
776
      11'h16D: data = 8'b00000010;
777
      11'h16E: data = 8'b00000100;
778
      11'h16F: data = 8'b00000100;
779
      11'h170: data = 8'b00001000;
780
      11'h171: data = 8'b00001000;
781
      11'h172: data = 8'b00010000;
782
      11'h173: data = 8'b00010000;
783
      11'h174: data = 8'b00100000;
784
      11'h175: data = 8'b01000000;
785
      11'h176: data = 8'b01111110;
786
      11'h177: data = 8'b00000000;
787
      11'h178: data = 8'b00000000;
788
      11'h179: data = 8'b00000000;
789
      11'h17A: data = 8'b00000000;
790
      11'h17B: data = 8'b00000000;
791
 
792
      11'h17C: data = 8'b00000000; // '3'
793
      11'h17D: data = 8'b00111100;
794
      11'h17E: data = 8'b01000010;
795
      11'h17F: data = 8'b00000010;
796
      11'h180: data = 8'b00000010;
797
      11'h181: data = 8'b00000010;
798
      11'h182: data = 8'b00000010;
799
      11'h183: data = 8'b00111100;
800
      11'h184: data = 8'b00000010;
801
      11'h185: data = 8'b00000010;
802
      11'h186: data = 8'b00000010;
803
      11'h187: data = 8'b00000010;
804
      11'h188: data = 8'b00000010;
805
      11'h189: data = 8'b01000010;
806
      11'h18A: data = 8'b00111100;
807
      11'h18B: data = 8'b00000000;
808
      11'h18C: data = 8'b00000000;
809
      11'h18D: data = 8'b00000000;
810
      11'h18E: data = 8'b00000000;
811
      11'h18F: data = 8'b00000000;
812
 
813
      11'h190: data = 8'b00000000; // '4'
814
      11'h191: data = 8'b01000010;
815
      11'h192: data = 8'b01000010;
816
      11'h193: data = 8'b01000010;
817
      11'h194: data = 8'b01000010;
818
      11'h195: data = 8'b01000010;
819
      11'h196: data = 8'b01000010;
820
      11'h197: data = 8'b01111110;
821
      11'h198: data = 8'b00000010;
822
      11'h199: data = 8'b00000010;
823
      11'h19A: data = 8'b00000010;
824
      11'h19B: data = 8'b00000010;
825
      11'h19C: data = 8'b00000010;
826
      11'h19D: data = 8'b00000010;
827
      11'h19E: data = 8'b00000010;
828
      11'h19F: data = 8'b00000000;
829
      11'h1A0: data = 8'b00000000;
830
      11'h1A1: data = 8'b00000000;
831
      11'h1A2: data = 8'b00000000;
832
      11'h1A3: data = 8'b00000000;
833
 
834
      11'h1A4: data = 8'b00000000; // '5'
835
      11'h1A5: data = 8'b01111110;
836
      11'h1A6: data = 8'b01000010;
837
      11'h1A7: data = 8'b01000000;
838
      11'h1A8: data = 8'b01000000;
839
      11'h1A9: data = 8'b01000000;
840
      11'h1AA: data = 8'b01000000;
841
      11'h1AB: data = 8'b01000000;
842
      11'h1AC: data = 8'b01111100;
843
      11'h1AD: data = 8'b00000010;
844
      11'h1AE: data = 8'b00000010;
845
      11'h1AF: data = 8'b00000010;
846
      11'h1B0: data = 8'b00000010;
847
      11'h1B1: data = 8'b00000010;
848
      11'h1B2: data = 8'b01111100;
849
      11'h1B3: data = 8'b00000000;
850
      11'h1B4: data = 8'b00000000;
851
      11'h1B5: data = 8'b00000000;
852
      11'h1B6: data = 8'b00000000;
853
      11'h1B7: data = 8'b00000000;
854
 
855
      11'h1B8: data = 8'b00000000; // '6'
856
      11'h1B9: data = 8'b00000010;
857
      11'h1BA: data = 8'b00000100;
858
      11'h1BB: data = 8'b00001000;
859
      11'h1BC: data = 8'b00010000;
860
      11'h1BD: data = 8'b00100000;
861
      11'h1BE: data = 8'b01000000;
862
      11'h1BF: data = 8'b01111100;
863
      11'h1C0: data = 8'b01000010;
864
      11'h1C1: data = 8'b01000010;
865
      11'h1C2: data = 8'b01000010;
866
      11'h1C3: data = 8'b01000010;
867
      11'h1C4: data = 8'b01000010;
868
      11'h1C5: data = 8'b01000010;
869
      11'h1C6: data = 8'b00111100;
870
      11'h1C7: data = 8'b00000000;
871
      11'h1C8: data = 8'b00000000;
872
      11'h1C9: data = 8'b00000000;
873
      11'h1CA: data = 8'b00000000;
874
      11'h1CB: data = 8'b00000000;
875
 
876
      11'h1CC: data = 8'b00000000; // '7'
877
      11'h1CD: data = 8'b01111110;
878
      11'h1CE: data = 8'b01000010;
879
      11'h1CF: data = 8'b00000010;
880
      11'h1D0: data = 8'b00000010;
881
      11'h1D1: data = 8'b00000010;
882
      11'h1D2: data = 8'b00000100;
883
      11'h1D3: data = 8'b00001000;
884
      11'h1D4: data = 8'b00010000;
885
      11'h1D5: data = 8'b00100000;
886
      11'h1D6: data = 8'b01000000;
887
      11'h1D7: data = 8'b01000000;
888
      11'h1D8: data = 8'b01000000;
889
      11'h1D9: data = 8'b01000000;
890
      11'h1DA: data = 8'b01000000;
891
      11'h1DB: data = 8'b00000000;
892
      11'h1DC: data = 8'b00000000;
893
      11'h1DD: data = 8'b00000000;
894
      11'h1DE: data = 8'b00000000;
895
      11'h1DF: data = 8'b00000000;
896
 
897
      11'h1E0: data = 8'b00000000; // '8'
898
      11'h1E1: data = 8'b00111100;
899
      11'h1E2: data = 8'b01000010;
900
      11'h1E3: data = 8'b01000010;
901
      11'h1E4: data = 8'b01000010;
902
      11'h1E5: data = 8'b01000010;
903
      11'h1E6: data = 8'b01000010;
904
      11'h1E7: data = 8'b01000010;
905
      11'h1E8: data = 8'b00111100;
906
      11'h1E9: data = 8'b01000010;
907
      11'h1EA: data = 8'b01000010;
908
      11'h1EB: data = 8'b01000010;
909
      11'h1EC: data = 8'b01000010;
910
      11'h1ED: data = 8'b01000010;
911
      11'h1EE: data = 8'b01111100;
912
      11'h1EF: data = 8'b00000000;
913
      11'h1F0: data = 8'b00000000;
914
      11'h1F1: data = 8'b00000000;
915
      11'h1F2: data = 8'b00000000;
916
      11'h1F3: data = 8'b00000000;
917
 
918
      11'h1F4: data = 8'b00000000; // '9'
919
      11'h1F5: data = 8'b00111100;
920
      11'h1F6: data = 8'b01000010;
921
      11'h1F7: data = 8'b01000010;
922
      11'h1F8: data = 8'b01000010;
923
      11'h1F9: data = 8'b01000010;
924
      11'h1FA: data = 8'b01000010;
925
      11'h1FB: data = 8'b00111110;
926
      11'h1FC: data = 8'b00000010;
927
      11'h1FD: data = 8'b00000010;
928
      11'h1FE: data = 8'b00000100;
929
      11'h1FF: data = 8'b00001000;
930
      11'h200: data = 8'b00010000;
931
      11'h201: data = 8'b00100000;
932
      11'h202: data = 8'b01000000;
933
      11'h203: data = 8'b00000000;
934
      11'h204: data = 8'b00000000;
935
      11'h205: data = 8'b00000000;
936
      11'h206: data = 8'b00000000;
937
      11'h207: data = 8'b00000000;
938
 
939
      11'h208: data = 8'b00000000; // ':'
940
      11'h209: data = 8'b00000000;
941
      11'h20A: data = 8'b00000000;
942
      11'h20B: data = 8'b00000000;
943
      11'h20C: data = 8'b00000000;
944
      11'h20D: data = 8'b00000000;
945
      11'h20E: data = 8'b00000000;
946
      11'h20F: data = 8'b00000000;
947
      11'h210: data = 8'b00000000;
948
      11'h211: data = 8'b00000000;
949
      11'h212: data = 8'b00000000;
950
      11'h213: data = 8'b00000000;
951
      11'h214: data = 8'b00010000;
952
      11'h215: data = 8'b00000000;
953
      11'h216: data = 8'b00010000;
954
      11'h217: data = 8'b00000000;
955
      11'h218: data = 8'b00000000;
956
      11'h219: data = 8'b00000000;
957
      11'h21A: data = 8'b00000000;
958
      11'h21B: data = 8'b00000000;
959
 
960
      11'h21C: data = 8'b00000000; // ';'
961
      11'h21D: data = 8'b00000000;
962
      11'h21E: data = 8'b00000000;
963
      11'h21F: data = 8'b00000000;
964
      11'h220: data = 8'b00000000;
965
      11'h221: data = 8'b00000000;
966
      11'h222: data = 8'b00000000;
967
      11'h223: data = 8'b00000000;
968
      11'h224: data = 8'b00000000;
969
      11'h225: data = 8'b00000000;
970
      11'h226: data = 8'b00000000;
971
      11'h227: data = 8'b00000000;
972
      11'h228: data = 8'b00010000;
973
      11'h229: data = 8'b00000000;
974
      11'h22A: data = 8'b00010000;
975
      11'h22B: data = 8'b00010000;
976
      11'h22C: data = 8'b00100000;
977
      11'h22D: data = 8'b00000000;
978
      11'h22E: data = 8'b00000000;
979
      11'h22F: data = 8'b00000000;
980
 
981
      11'h230: data = 8'b00000000; // '<'
982
      11'h231: data = 8'b00000000;
983
      11'h232: data = 8'b00000000;
984
      11'h233: data = 8'b00000010;
985
      11'h234: data = 8'b00000100;
986
      11'h235: data = 8'b00001000;
987
      11'h236: data = 8'b00010000;
988
      11'h237: data = 8'b00100000;
989
      11'h238: data = 8'b01000000;
990
      11'h239: data = 8'b00100000;
991
      11'h23A: data = 8'b00010000;
992
      11'h23B: data = 8'b00001000;
993
      11'h23C: data = 8'b00000100;
994
      11'h23D: data = 8'b00000010;
995
      11'h23E: data = 8'b00000000;
996
      11'h23F: data = 8'b00000000;
997
      11'h240: data = 8'b00000000;
998
      11'h241: data = 8'b00000000;
999
      11'h242: data = 8'b00000000;
1000
      11'h243: data = 8'b00000000;
1001
 
1002
      11'h244: data = 8'b00000000; // '='
1003
      11'h245: data = 8'b00000000;
1004
      11'h246: data = 8'b00000000;
1005
      11'h247: data = 8'b00000000;
1006
      11'h248: data = 8'b00000000;
1007
      11'h249: data = 8'b00000000;
1008
      11'h24A: data = 8'b01111110;
1009
      11'h24B: data = 8'b00000000;
1010
      11'h24C: data = 8'b01111110;
1011
      11'h24D: data = 8'b00000000;
1012
      11'h24E: data = 8'b00000000;
1013
      11'h24F: data = 8'b00000000;
1014
      11'h250: data = 8'b00000000;
1015
      11'h251: data = 8'b00000000;
1016
      11'h252: data = 8'b00000000;
1017
      11'h253: data = 8'b00000000;
1018
      11'h254: data = 8'b00000000;
1019
      11'h255: data = 8'b00000000;
1020
      11'h256: data = 8'b00000000;
1021
      11'h257: data = 8'b00000000;
1022
 
1023
      11'h258: data = 8'b00000000; // '>'
1024
      11'h259: data = 8'b00000000;
1025
      11'h25A: data = 8'b00000000;
1026
      11'h25B: data = 8'b01000000;
1027
      11'h25C: data = 8'b00100000;
1028
      11'h25D: data = 8'b00010000;
1029
      11'h25E: data = 8'b00001000;
1030
      11'h25F: data = 8'b00000100;
1031
      11'h260: data = 8'b00000010;
1032
      11'h261: data = 8'b00000100;
1033
      11'h262: data = 8'b00001000;
1034
      11'h263: data = 8'b00010000;
1035
      11'h264: data = 8'b00100000;
1036
      11'h265: data = 8'b01000000;
1037
      11'h266: data = 8'b00000000;
1038
      11'h267: data = 8'b00000000;
1039
      11'h268: data = 8'b00000000;
1040
      11'h269: data = 8'b00000000;
1041
      11'h26A: data = 8'b00000000;
1042
      11'h26B: data = 8'b00000000;
1043
 
1044
      11'h26C: data = 8'b00000000; // '?'
1045
      11'h26D: data = 8'b00111100;
1046
      11'h26E: data = 8'b01000010;
1047
      11'h26F: data = 8'b00000010;
1048
      11'h270: data = 8'b00000010;
1049
      11'h271: data = 8'b00000010;
1050
      11'h272: data = 8'b00000100;
1051
      11'h273: data = 8'b00001000;
1052
      11'h274: data = 8'b00010000;
1053
      11'h275: data = 8'b00010000;
1054
      11'h276: data = 8'b00010000;
1055
      11'h277: data = 8'b00010000;
1056
      11'h278: data = 8'b00010000;
1057
      11'h279: data = 8'b00000000;
1058
      11'h27A: data = 8'b00010000;
1059
      11'h27B: data = 8'b00000000;
1060
      11'h27C: data = 8'b00000000;
1061
      11'h27D: data = 8'b00000000;
1062
      11'h27E: data = 8'b00000000;
1063
      11'h27F: data = 8'b00000000;
1064
 
1065
      11'h280: data = 8'b00000000; // '@'
1066
      11'h281: data = 8'b01111110;
1067
      11'h282: data = 8'b01000010;
1068
      11'h283: data = 8'b01000010;
1069
      11'h284: data = 8'b01000010;
1070
      11'h285: data = 8'b01000010;
1071
      11'h286: data = 8'b01001110;
1072
      11'h287: data = 8'b01010010;
1073
      11'h288: data = 8'b01010010;
1074
      11'h289: data = 8'b01011110;
1075
      11'h28A: data = 8'b01000000;
1076
      11'h28B: data = 8'b01000000;
1077
      11'h28C: data = 8'b01000000;
1078
      11'h28D: data = 8'b01000000;
1079
      11'h28E: data = 8'b01111110;
1080
      11'h28F: data = 8'b00000000;
1081
      11'h290: data = 8'b00000000;
1082
      11'h291: data = 8'b00000000;
1083
      11'h292: data = 8'b00000000;
1084
      11'h293: data = 8'b00000000;
1085
 
1086
      11'h294: data = 8'b00000000; // 'A'
1087
      11'h295: data = 8'b00111100;
1088
      11'h296: data = 8'b01000010;
1089
      11'h297: data = 8'b01000010;
1090
      11'h298: data = 8'b01000010;
1091
      11'h299: data = 8'b01000010;
1092
      11'h29A: data = 8'b01000010;
1093
      11'h29B: data = 8'b01000010;
1094
      11'h29C: data = 8'b01111110;
1095
      11'h29D: data = 8'b01000010;
1096
      11'h29E: data = 8'b01000010;
1097
      11'h29F: data = 8'b01000010;
1098
      11'h2A0: data = 8'b01000010;
1099
      11'h2A1: data = 8'b01000010;
1100
      11'h2A2: data = 8'b01000010;
1101
      11'h2A3: data = 8'b00000000;
1102
      11'h2A4: data = 8'b00000000;
1103
      11'h2A5: data = 8'b00000000;
1104
      11'h2A6: data = 8'b00000000;
1105
      11'h2A7: data = 8'b00000000;
1106
 
1107
      11'h2A8: data = 8'b00000000; // 'B'
1108
      11'h2A9: data = 8'b01111100;
1109
      11'h2AA: data = 8'b01000010;
1110
      11'h2AB: data = 8'b01000010;
1111
      11'h2AC: data = 8'b01000010;
1112
      11'h2AD: data = 8'b01000010;
1113
      11'h2AE: data = 8'b01000010;
1114
      11'h2AF: data = 8'b01000010;
1115
      11'h2B0: data = 8'b01111100;
1116
      11'h2B1: data = 8'b01000010;
1117
      11'h2B2: data = 8'b01000010;
1118
      11'h2B3: data = 8'b01000010;
1119
      11'h2B4: data = 8'b01000010;
1120
      11'h2B5: data = 8'b01000010;
1121
      11'h2B6: data = 8'b01111100;
1122
      11'h2B7: data = 8'b00000000;
1123
      11'h2B8: data = 8'b00000000;
1124
      11'h2B9: data = 8'b00000000;
1125
      11'h2BA: data = 8'b00000000;
1126
      11'h2BB: data = 8'b00000000;
1127
 
1128
      11'h2BC: data = 8'b00000000; // 'C'
1129
      11'h2BD: data = 8'b00111100;
1130
      11'h2BE: data = 8'b01000010;
1131
      11'h2BF: data = 8'b01000000;
1132
      11'h2C0: data = 8'b01000000;
1133
      11'h2C1: data = 8'b01000000;
1134
      11'h2C2: data = 8'b01000000;
1135
      11'h2C3: data = 8'b01000000;
1136
      11'h2C4: data = 8'b01000000;
1137
      11'h2C5: data = 8'b01000000;
1138
      11'h2C6: data = 8'b01000000;
1139
      11'h2C7: data = 8'b01000000;
1140
      11'h2C8: data = 8'b01000000;
1141
      11'h2C9: data = 8'b01000010;
1142
      11'h2CA: data = 8'b00111100;
1143
      11'h2CB: data = 8'b00000000;
1144
      11'h2CC: data = 8'b00000000;
1145
      11'h2CD: data = 8'b00000000;
1146
      11'h2CE: data = 8'b00000000;
1147
      11'h2CF: data = 8'b00000000;
1148
 
1149
      11'h2D0: data = 8'b00000000; // 'D'
1150
      11'h2D1: data = 8'b01111100;
1151
      11'h2D2: data = 8'b01000010;
1152
      11'h2D3: data = 8'b01000010;
1153
      11'h2D4: data = 8'b01000010;
1154
      11'h2D5: data = 8'b01000010;
1155
      11'h2D6: data = 8'b01000010;
1156
      11'h2D7: data = 8'b01000010;
1157
      11'h2D8: data = 8'b01000010;
1158
      11'h2D9: data = 8'b01000010;
1159
      11'h2DA: data = 8'b01000010;
1160
      11'h2DB: data = 8'b01000010;
1161
      11'h2DC: data = 8'b01000010;
1162
      11'h2DD: data = 8'b01000010;
1163
      11'h2DE: data = 8'b01111100;
1164
      11'h2DF: data = 8'b00000000;
1165
      11'h2E0: data = 8'b00000000;
1166
      11'h2E1: data = 8'b00000000;
1167
      11'h2E2: data = 8'b00000000;
1168
      11'h2E3: data = 8'b00000000;
1169
 
1170
      11'h2E4: data = 8'b00000000; // 'E'
1171
      11'h2E5: data = 8'b01111110;
1172
      11'h2E6: data = 8'b01000000;
1173
      11'h2E7: data = 8'b01000000;
1174
      11'h2E8: data = 8'b01000000;
1175
      11'h2E9: data = 8'b01000000;
1176
      11'h2EA: data = 8'b01000000;
1177
      11'h2EB: data = 8'b01111000;
1178
      11'h2EC: data = 8'b01000000;
1179
      11'h2ED: data = 8'b01000000;
1180
      11'h2EE: data = 8'b01000000;
1181
      11'h2EF: data = 8'b01000000;
1182
      11'h2F0: data = 8'b01000000;
1183
      11'h2F1: data = 8'b01000000;
1184
      11'h2F2: data = 8'b01111110;
1185
      11'h2F3: data = 8'b00000000;
1186
      11'h2F4: data = 8'b00000000;
1187
      11'h2F5: data = 8'b00000000;
1188
      11'h2F6: data = 8'b00000000;
1189
      11'h2F7: data = 8'b00000000;
1190
 
1191
      11'h2F8: data = 8'b00000000; // 'F'
1192
      11'h2F9: data = 8'b01111110;
1193
      11'h2FA: data = 8'b01000000;
1194
      11'h2FB: data = 8'b01000000;
1195
      11'h2FC: data = 8'b01000000;
1196
      11'h2FD: data = 8'b01000000;
1197
      11'h2FE: data = 8'b01000000;
1198
      11'h2FF: data = 8'b01111000;
1199
      11'h300: data = 8'b01000000;
1200
      11'h301: data = 8'b01000000;
1201
      11'h302: data = 8'b01000000;
1202
      11'h303: data = 8'b01000000;
1203
      11'h304: data = 8'b01000000;
1204
      11'h305: data = 8'b01000000;
1205
      11'h306: data = 8'b01000000;
1206
      11'h307: data = 8'b00000000;
1207
      11'h308: data = 8'b00000000;
1208
      11'h309: data = 8'b00000000;
1209
      11'h30A: data = 8'b00000000;
1210
      11'h30B: data = 8'b00000000;
1211
 
1212
      11'h30C: data = 8'b00000000; // 'G'
1213
      11'h30D: data = 8'b00111100;
1214
      11'h30E: data = 8'b01000010;
1215
      11'h30F: data = 8'b01000000;
1216
      11'h310: data = 8'b01000000;
1217
      11'h311: data = 8'b01000000;
1218
      11'h312: data = 8'b01000000;
1219
      11'h313: data = 8'b01000000;
1220
      11'h314: data = 8'b01011100;
1221
      11'h315: data = 8'b01000010;
1222
      11'h316: data = 8'b01000010;
1223
      11'h317: data = 8'b01000010;
1224
      11'h318: data = 8'b01000010;
1225
      11'h319: data = 8'b01000010;
1226
      11'h31A: data = 8'b00111100;
1227
      11'h31B: data = 8'b00000000;
1228
      11'h31C: data = 8'b00000000;
1229
      11'h31D: data = 8'b00000000;
1230
      11'h31E: data = 8'b00000000;
1231
      11'h31F: data = 8'b00000000;
1232
 
1233
      11'h320: data = 8'b00000000; // 'H'
1234
      11'h321: data = 8'b01000010;
1235
      11'h322: data = 8'b01000010;
1236
      11'h323: data = 8'b01000010;
1237
      11'h324: data = 8'b01000010;
1238
      11'h325: data = 8'b01000010;
1239
      11'h326: data = 8'b01000010;
1240
      11'h327: data = 8'b01111110;
1241
      11'h328: data = 8'b01000010;
1242
      11'h329: data = 8'b01000010;
1243
      11'h32A: data = 8'b01000010;
1244
      11'h32B: data = 8'b01000010;
1245
      11'h32C: data = 8'b01000010;
1246
      11'h32D: data = 8'b01000010;
1247
      11'h32E: data = 8'b01000010;
1248
      11'h32F: data = 8'b00000000;
1249
      11'h330: data = 8'b00000000;
1250
      11'h331: data = 8'b00000000;
1251
      11'h332: data = 8'b00000000;
1252
      11'h333: data = 8'b00000000;
1253
 
1254
      11'h334: data = 8'b00000000; // 'I'
1255
      11'h335: data = 8'b01111110;
1256
      11'h336: data = 8'b00010000;
1257
      11'h337: data = 8'b00010000;
1258
      11'h338: data = 8'b00010000;
1259
      11'h339: data = 8'b00010000;
1260
      11'h33A: data = 8'b00010000;
1261
      11'h33B: data = 8'b00010000;
1262
      11'h33C: data = 8'b00010000;
1263
      11'h33D: data = 8'b00010000;
1264
      11'h33E: data = 8'b00010000;
1265
      11'h33F: data = 8'b00010000;
1266
      11'h340: data = 8'b00010000;
1267
      11'h341: data = 8'b00010000;
1268
      11'h342: data = 8'b01111110;
1269
      11'h343: data = 8'b00000000;
1270
      11'h344: data = 8'b00000000;
1271
      11'h345: data = 8'b00000000;
1272
      11'h346: data = 8'b00000000;
1273
      11'h347: data = 8'b00000000;
1274
 
1275
      11'h348: data = 8'b00000000; // 'J'
1276
      11'h349: data = 8'b00000010;
1277
      11'h34A: data = 8'b00000010;
1278
      11'h34B: data = 8'b00000010;
1279
      11'h34C: data = 8'b00000010;
1280
      11'h34D: data = 8'b00000010;
1281
      11'h34E: data = 8'b00000010;
1282
      11'h34F: data = 8'b00000010;
1283
      11'h350: data = 8'b00000010;
1284
      11'h351: data = 8'b00000010;
1285
      11'h352: data = 8'b00000010;
1286
      11'h353: data = 8'b00000010;
1287
      11'h354: data = 8'b00000010;
1288
      11'h355: data = 8'b01000010;
1289
      11'h356: data = 8'b00111100;
1290
      11'h357: data = 8'b00000000;
1291
      11'h358: data = 8'b00000000;
1292
      11'h359: data = 8'b00000000;
1293
      11'h35A: data = 8'b00000000;
1294
      11'h35B: data = 8'b00000000;
1295
 
1296
      11'h35C: data = 8'b00000000; // 'K'
1297
      11'h35D: data = 8'b01000010;
1298
      11'h35E: data = 8'b01000010;
1299
      11'h35F: data = 8'b01000010;
1300
      11'h360: data = 8'b01000100;
1301
      11'h361: data = 8'b01001000;
1302
      11'h362: data = 8'b01010000;
1303
      11'h363: data = 8'b01100000;
1304
      11'h364: data = 8'b01100000;
1305
      11'h365: data = 8'b01010000;
1306
      11'h366: data = 8'b01001000;
1307
      11'h367: data = 8'b01000100;
1308
      11'h368: data = 8'b01000010;
1309
      11'h369: data = 8'b01000010;
1310
      11'h36A: data = 8'b01000010;
1311
      11'h36B: data = 8'b00000000;
1312
      11'h36C: data = 8'b00000000;
1313
      11'h36D: data = 8'b00000000;
1314
      11'h36E: data = 8'b00000000;
1315
      11'h36F: data = 8'b00000000;
1316
 
1317
      11'h370: data = 8'b00000000; // 'L'
1318
      11'h371: data = 8'b01000000;
1319
      11'h372: data = 8'b01000000;
1320
      11'h373: data = 8'b01000000;
1321
      11'h374: data = 8'b01000000;
1322
      11'h375: data = 8'b01000000;
1323
      11'h376: data = 8'b01000000;
1324
      11'h377: data = 8'b01000000;
1325
      11'h378: data = 8'b01000000;
1326
      11'h379: data = 8'b01000000;
1327
      11'h37A: data = 8'b01000000;
1328
      11'h37B: data = 8'b01000000;
1329
      11'h37C: data = 8'b01000000;
1330
      11'h37D: data = 8'b01000000;
1331
      11'h37E: data = 8'b01111110;
1332
      11'h37F: data = 8'b00000000;
1333
      11'h380: data = 8'b00000000;
1334
      11'h381: data = 8'b00000000;
1335
      11'h382: data = 8'b00000000;
1336
      11'h383: data = 8'b00000000;
1337
 
1338
      11'h384: data = 8'b00000000; // 'M'
1339
      11'h385: data = 8'b01101110;
1340
      11'h386: data = 8'b01010010;
1341
      11'h387: data = 8'b01010010;
1342
      11'h388: data = 8'b01000010;
1343
      11'h389: data = 8'b01000010;
1344
      11'h38A: data = 8'b01000010;
1345
      11'h38B: data = 8'b01000010;
1346
      11'h38C: data = 8'b01000010;
1347
      11'h38D: data = 8'b01000010;
1348
      11'h38E: data = 8'b01000010;
1349
      11'h38F: data = 8'b01000010;
1350
      11'h390: data = 8'b01000010;
1351
      11'h391: data = 8'b01000010;
1352
      11'h392: data = 8'b01000010;
1353
      11'h393: data = 8'b00000000;
1354
      11'h394: data = 8'b00000000;
1355
      11'h395: data = 8'b00000000;
1356
      11'h396: data = 8'b00000000;
1357
      11'h397: data = 8'b00000000;
1358
 
1359
      11'h398: data = 8'b00000000; // 'N'
1360
      11'h399: data = 8'b01000010;
1361
      11'h39A: data = 8'b01100010;
1362
      11'h39B: data = 8'b01010010;
1363
      11'h39C: data = 8'b01001010;
1364
      11'h39D: data = 8'b01000110;
1365
      11'h39E: data = 8'b01000010;
1366
      11'h39F: data = 8'b01000010;
1367
      11'h3A0: data = 8'b01000010;
1368
      11'h3A1: data = 8'b01000010;
1369
      11'h3A2: data = 8'b01000010;
1370
      11'h3A3: data = 8'b01000010;
1371
      11'h3A4: data = 8'b01000010;
1372
      11'h3A5: data = 8'b01000010;
1373
      11'h3A6: data = 8'b01000010;
1374
      11'h3A7: data = 8'b00000000;
1375
      11'h3A8: data = 8'b00000000;
1376
      11'h3A9: data = 8'b00000000;
1377
      11'h3AA: data = 8'b00000000;
1378
      11'h3AB: data = 8'b00000000;
1379
 
1380
      11'h3AC: data = 8'b00000000; // 'O'
1381
      11'h3AD: data = 8'b00111100;
1382
      11'h3AE: data = 8'b01000010;
1383
      11'h3AF: data = 8'b01000010;
1384
      11'h3B0: data = 8'b01000010;
1385
      11'h3B1: data = 8'b01000010;
1386
      11'h3B2: data = 8'b01000010;
1387
      11'h3B3: data = 8'b01000010;
1388
      11'h3B4: data = 8'b01000010;
1389
      11'h3B5: data = 8'b01000010;
1390
      11'h3B6: data = 8'b01000010;
1391
      11'h3B7: data = 8'b01000010;
1392
      11'h3B8: data = 8'b01000010;
1393
      11'h3B9: data = 8'b01000010;
1394
      11'h3BA: data = 8'b00111100;
1395
      11'h3BB: data = 8'b00000000;
1396
      11'h3BC: data = 8'b00000000;
1397
      11'h3BD: data = 8'b00000000;
1398
      11'h3BE: data = 8'b00000000;
1399
      11'h3BF: data = 8'b00000000;
1400
 
1401
      11'h3C0: data = 8'b00000000; // 'P'
1402
      11'h3C1: data = 8'b01111100;
1403
      11'h3C2: data = 8'b01000010;
1404
      11'h3C3: data = 8'b01000010;
1405
      11'h3C4: data = 8'b01000010;
1406
      11'h3C5: data = 8'b01000010;
1407
      11'h3C6: data = 8'b01000010;
1408
      11'h3C7: data = 8'b01111100;
1409
      11'h3C8: data = 8'b01000000;
1410
      11'h3C9: data = 8'b01000000;
1411
      11'h3CA: data = 8'b01000000;
1412
      11'h3CB: data = 8'b01000000;
1413
      11'h3CC: data = 8'b01000000;
1414
      11'h3CD: data = 8'b01000000;
1415
      11'h3CE: data = 8'b01000000;
1416
      11'h3CF: data = 8'b00000000;
1417
      11'h3D0: data = 8'b00000000;
1418
      11'h3D1: data = 8'b00000000;
1419
      11'h3D2: data = 8'b00000000;
1420
      11'h3D3: data = 8'b00000000;
1421
 
1422
      11'h3D4: data = 8'b00000000; // 'Q'
1423
      11'h3D5: data = 8'b00111100;
1424
      11'h3D6: data = 8'b01000010;
1425
      11'h3D7: data = 8'b01000010;
1426
      11'h3D8: data = 8'b01000010;
1427
      11'h3D9: data = 8'b01000010;
1428
      11'h3DA: data = 8'b01000010;
1429
      11'h3DB: data = 8'b01000010;
1430
      11'h3DC: data = 8'b01000010;
1431
      11'h3DD: data = 8'b01000010;
1432
      11'h3DE: data = 8'b01000010;
1433
      11'h3DF: data = 8'b01000010;
1434
      11'h3E0: data = 8'b01001010;
1435
      11'h3E1: data = 8'b01000110;
1436
      11'h3E2: data = 8'b00011110;
1437
      11'h3E3: data = 8'b00000000;
1438
      11'h3E4: data = 8'b00000000;
1439
      11'h3E5: data = 8'b00000000;
1440
      11'h3E6: data = 8'b00000000;
1441
      11'h3E7: data = 8'b00000000;
1442
 
1443
      11'h3E8: data = 8'b00000000; // 'R'
1444
      11'h3E9: data = 8'b01111100;
1445
      11'h3EA: data = 8'b01000010;
1446
      11'h3EB: data = 8'b01000010;
1447
      11'h3EC: data = 8'b01000010;
1448
      11'h3ED: data = 8'b01000010;
1449
      11'h3EE: data = 8'b01000010;
1450
      11'h3EF: data = 8'b01111100;
1451
      11'h3F0: data = 8'b01100000;
1452
      11'h3F1: data = 8'b01100000;
1453
      11'h3F2: data = 8'b01010000;
1454
      11'h3F3: data = 8'b01001000;
1455
      11'h3F4: data = 8'b01000100;
1456
      11'h3F5: data = 8'b01000100;
1457
      11'h3F6: data = 8'b01000010;
1458
      11'h3F7: data = 8'b00000000;
1459
      11'h3F8: data = 8'b00000000;
1460
      11'h3F9: data = 8'b00000000;
1461
      11'h3FA: data = 8'b00000000;
1462
      11'h3FB: data = 8'b00000000;
1463
 
1464
      11'h3FC: data = 8'b00000000; // 'S'
1465
      11'h3FD: data = 8'b00111100;
1466
      11'h3FE: data = 8'b01000010;
1467
      11'h3FF: data = 8'b01000000;
1468
      11'h400: data = 8'b01000000;
1469
      11'h401: data = 8'b01000000;
1470
      11'h402: data = 8'b01000000;
1471
      11'h403: data = 8'b01000000;
1472
      11'h404: data = 8'b00111100;
1473
      11'h405: data = 8'b00000010;
1474
      11'h406: data = 8'b00000010;
1475
      11'h407: data = 8'b00000010;
1476
      11'h408: data = 8'b00000010;
1477
      11'h409: data = 8'b01000010;
1478
      11'h40A: data = 8'b00111100;
1479
      11'h40B: data = 8'b00000000;
1480
      11'h40C: data = 8'b00000000;
1481
      11'h40D: data = 8'b00000000;
1482
      11'h40E: data = 8'b00000000;
1483
      11'h40F: data = 8'b00000000;
1484
 
1485
      11'h410: data = 8'b00000000; // 'T'
1486
      11'h411: data = 8'b01111110;
1487
      11'h412: data = 8'b00010000;
1488
      11'h413: data = 8'b00010000;
1489
      11'h414: data = 8'b00010000;
1490
      11'h415: data = 8'b00010000;
1491
      11'h416: data = 8'b00010000;
1492
      11'h417: data = 8'b00010000;
1493
      11'h418: data = 8'b00010000;
1494
      11'h419: data = 8'b00010000;
1495
      11'h41A: data = 8'b00010000;
1496
      11'h41B: data = 8'b00010000;
1497
      11'h41C: data = 8'b00010000;
1498
      11'h41D: data = 8'b00010000;
1499
      11'h41E: data = 8'b00010000;
1500
      11'h41F: data = 8'b00000000;
1501
      11'h420: data = 8'b00000000;
1502
      11'h421: data = 8'b00000000;
1503
      11'h422: data = 8'b00000000;
1504
      11'h423: data = 8'b00000000;
1505
 
1506
      11'h424: data = 8'b00000000; // 'U'
1507
      11'h425: data = 8'b01000010;
1508
      11'h426: data = 8'b01000010;
1509
      11'h427: data = 8'b01000010;
1510
      11'h428: data = 8'b01000010;
1511
      11'h429: data = 8'b01000010;
1512
      11'h42A: data = 8'b01000010;
1513
      11'h42B: data = 8'b01000010;
1514
      11'h42C: data = 8'b01000010;
1515
      11'h42D: data = 8'b01000010;
1516
      11'h42E: data = 8'b01000010;
1517
      11'h42F: data = 8'b01000010;
1518
      11'h430: data = 8'b01000010;
1519
      11'h431: data = 8'b01000010;
1520
      11'h432: data = 8'b00111100;
1521
      11'h433: data = 8'b00000000;
1522
      11'h434: data = 8'b00000000;
1523
      11'h435: data = 8'b00000000;
1524
      11'h436: data = 8'b00000000;
1525
      11'h437: data = 8'b00000000;
1526
 
1527
      11'h438: data = 8'b00000000; // 'V'
1528
      11'h439: data = 8'b01000010;
1529
      11'h43A: data = 8'b01000010;
1530
      11'h43B: data = 8'b01000010;
1531
      11'h43C: data = 8'b01000010;
1532
      11'h43D: data = 8'b01000010;
1533
      11'h43E: data = 8'b01000010;
1534
      11'h43F: data = 8'b01000010;
1535
      11'h440: data = 8'b01000010;
1536
      11'h441: data = 8'b01000010;
1537
      11'h442: data = 8'b01000010;
1538
      11'h443: data = 8'b01000010;
1539
      11'h444: data = 8'b01000100;
1540
      11'h445: data = 8'b00101000;
1541
      11'h446: data = 8'b00010000;
1542
      11'h447: data = 8'b00000000;
1543
      11'h448: data = 8'b00000000;
1544
      11'h449: data = 8'b00000000;
1545
      11'h44A: data = 8'b00000000;
1546
      11'h44B: data = 8'b00000000;
1547
 
1548
      11'h44C: data = 8'b00000000; // 'W'
1549
      11'h44D: data = 8'b01000010;
1550
      11'h44E: data = 8'b01000010;
1551
      11'h44F: data = 8'b01000010;
1552
      11'h450: data = 8'b01000010;
1553
      11'h451: data = 8'b01000010;
1554
      11'h452: data = 8'b01000010;
1555
      11'h453: data = 8'b01000010;
1556
      11'h454: data = 8'b01000010;
1557
      11'h455: data = 8'b01000010;
1558
      11'h456: data = 8'b01000010;
1559
      11'h457: data = 8'b01000010;
1560
      11'h458: data = 8'b01010010;
1561
      11'h459: data = 8'b01101010;
1562
      11'h45A: data = 8'b01000110;
1563
      11'h45B: data = 8'b00000000;
1564
      11'h45C: data = 8'b00000000;
1565
      11'h45D: data = 8'b00000000;
1566
      11'h45E: data = 8'b00000000;
1567
      11'h45F: data = 8'b00000000;
1568
 
1569
      11'h460: data = 8'b00000000; // 'X'
1570
      11'h461: data = 8'b01000010;
1571
      11'h462: data = 8'b01000010;
1572
      11'h463: data = 8'b01000010;
1573
      11'h464: data = 8'b00100100;
1574
      11'h465: data = 8'b00100100;
1575
      11'h466: data = 8'b00100100;
1576
      11'h467: data = 8'b00011000;
1577
      11'h468: data = 8'b00010000;
1578
      11'h469: data = 8'b00010000;
1579
      11'h46A: data = 8'b00101000;
1580
      11'h46B: data = 8'b00101000;
1581
      11'h46C: data = 8'b00100100;
1582
      11'h46D: data = 8'b01000010;
1583
      11'h46E: data = 8'b01000010;
1584
      11'h46F: data = 8'b00000000;
1585
      11'h470: data = 8'b00000000;
1586
      11'h471: data = 8'b00000000;
1587
      11'h472: data = 8'b00000000;
1588
      11'h473: data = 8'b00000000;
1589
 
1590
      11'h474: data = 8'b00000000; // 'Y'
1591
      11'h475: data = 8'b01000010;
1592
      11'h476: data = 8'b01000010;
1593
      11'h477: data = 8'b01000010;
1594
      11'h478: data = 8'b01000010;
1595
      11'h479: data = 8'b01000010;
1596
      11'h47A: data = 8'b01000010;
1597
      11'h47B: data = 8'b00111100;
1598
      11'h47C: data = 8'b00010000;
1599
      11'h47D: data = 8'b00010000;
1600
      11'h47E: data = 8'b00010000;
1601
      11'h47F: data = 8'b00010000;
1602
      11'h480: data = 8'b00010000;
1603
      11'h481: data = 8'b00010000;
1604
      11'h482: data = 8'b00010000;
1605
      11'h483: data = 8'b00000000;
1606
      11'h484: data = 8'b00000000;
1607
      11'h485: data = 8'b00000000;
1608
      11'h486: data = 8'b00000000;
1609
      11'h487: data = 8'b00000000;
1610
 
1611
      11'h488: data = 8'b00000000; // 'Z'
1612
      11'h489: data = 8'b01111110;
1613
      11'h48A: data = 8'b00000010;
1614
      11'h48B: data = 8'b00000010;
1615
      11'h48C: data = 8'b00000100;
1616
      11'h48D: data = 8'b00000100;
1617
      11'h48E: data = 8'b00000100;
1618
      11'h48F: data = 8'b00001000;
1619
      11'h490: data = 8'b00001000;
1620
      11'h491: data = 8'b00010000;
1621
      11'h492: data = 8'b00010000;
1622
      11'h493: data = 8'b00100000;
1623
      11'h494: data = 8'b01000000;
1624
      11'h495: data = 8'b01000000;
1625
      11'h496: data = 8'b01111110;
1626
      11'h497: data = 8'b00000000;
1627
      11'h498: data = 8'b00000000;
1628
      11'h499: data = 8'b00000000;
1629
      11'h49A: data = 8'b00000000;
1630
      11'h49B: data = 8'b00000000;
1631
 
1632
      11'h49C: data = 8'b00000000; // '['
1633
      11'h49D: data = 8'b01111110;
1634
      11'h49E: data = 8'b01000000;
1635
      11'h49F: data = 8'b01000000;
1636
      11'h4A0: data = 8'b01000000;
1637
      11'h4A1: data = 8'b01000000;
1638
      11'h4A2: data = 8'b01000000;
1639
      11'h4A3: data = 8'b01000000;
1640
      11'h4A4: data = 8'b01000000;
1641
      11'h4A5: data = 8'b01000000;
1642
      11'h4A6: data = 8'b01000000;
1643
      11'h4A7: data = 8'b01000000;
1644
      11'h4A8: data = 8'b01000000;
1645
      11'h4A9: data = 8'b01000000;
1646
      11'h4AA: data = 8'b01111110;
1647
      11'h4AB: data = 8'b00000000;
1648
      11'h4AC: data = 8'b00000000;
1649
      11'h4AD: data = 8'b00000000;
1650
      11'h4AE: data = 8'b00000000;
1651
      11'h4AF: data = 8'b00000000;
1652
 
1653
      11'h4B0: data = 8'b00000000; // '\'
1654
      11'h4B1: data = 8'b01000000;
1655
      11'h4B2: data = 8'b01000000;
1656
      11'h4B3: data = 8'b00100000;
1657
      11'h4B4: data = 8'b00100000;
1658
      11'h4B5: data = 8'b00100000;
1659
      11'h4B6: data = 8'b00010000;
1660
      11'h4B7: data = 8'b00010000;
1661
      11'h4B8: data = 8'b00010000;
1662
      11'h4B9: data = 8'b00001000;
1663
      11'h4BA: data = 8'b00001000;
1664
      11'h4BB: data = 8'b00001000;
1665
      11'h4BC: data = 8'b00000100;
1666
      11'h4BD: data = 8'b00000100;
1667
      11'h4BE: data = 8'b00000010;
1668
      11'h4BF: data = 8'b00000000;
1669
      11'h4C0: data = 8'b00000000;
1670
      11'h4C1: data = 8'b00000000;
1671
      11'h4C2: data = 8'b00000000;
1672
      11'h4C3: data = 8'b00000000;
1673
 
1674
      11'h4C4: data = 8'b00000000; // ']'
1675
      11'h4C5: data = 8'b01111110;
1676
      11'h4C6: data = 8'b00000010;
1677
      11'h4C7: data = 8'b00000010;
1678
      11'h4C8: data = 8'b00000010;
1679
      11'h4C9: data = 8'b00000010;
1680
      11'h4CA: data = 8'b00000010;
1681
      11'h4CB: data = 8'b00000010;
1682
      11'h4CC: data = 8'b00000010;
1683
      11'h4CD: data = 8'b00000010;
1684
      11'h4CE: data = 8'b00000010;
1685
      11'h4CF: data = 8'b00000010;
1686
      11'h4D0: data = 8'b00000010;
1687
      11'h4D1: data = 8'b00000010;
1688
      11'h4D2: data = 8'b01111110;
1689
      11'h4D3: data = 8'b00000000;
1690
      11'h4D4: data = 8'b00000000;
1691
      11'h4D5: data = 8'b00000000;
1692
      11'h4D6: data = 8'b00000000;
1693
      11'h4D7: data = 8'b00000000;
1694
 
1695
      11'h4D8: data = 8'b00000000; // '^'
1696
      11'h4D9: data = 8'b00011000;
1697
      11'h4DA: data = 8'b00100100;
1698
      11'h4DB: data = 8'b01000010;
1699
      11'h4DC: data = 8'b00000000;
1700
      11'h4DD: data = 8'b00000000;
1701
      11'h4DE: data = 8'b00000000;
1702
      11'h4DF: data = 8'b00000000;
1703
      11'h4E0: data = 8'b00000000;
1704
      11'h4E1: data = 8'b00000000;
1705
      11'h4E2: data = 8'b00000000;
1706
      11'h4E3: data = 8'b00000000;
1707
      11'h4E4: data = 8'b00000000;
1708
      11'h4E5: data = 8'b00000000;
1709
      11'h4E6: data = 8'b00000000;
1710
      11'h4E7: data = 8'b00000000;
1711
      11'h4E8: data = 8'b00000000;
1712
      11'h4E9: data = 8'b00000000;
1713
      11'h4EA: data = 8'b00000000;
1714
      11'h4EB: data = 8'b00000000;
1715
 
1716
      11'h4EC: data = 8'b00000000; // '_'
1717
      11'h4ED: data = 8'b00000000;
1718
      11'h4EE: data = 8'b00000000;
1719
      11'h4EF: data = 8'b00000000;
1720
      11'h4F0: data = 8'b00000000;
1721
      11'h4F1: data = 8'b00000000;
1722
      11'h4F2: data = 8'b00000000;
1723
      11'h4F3: data = 8'b00000000;
1724
      11'h4F4: data = 8'b00000000;
1725
      11'h4F5: data = 8'b00000000;
1726
      11'h4F6: data = 8'b00000000;
1727
      11'h4F7: data = 8'b00000000;
1728
      11'h4F8: data = 8'b00000000;
1729
      11'h4F9: data = 8'b00000000;
1730
      11'h4FA: data = 8'b01111110;
1731
      11'h4FB: data = 8'b00000000;
1732
      11'h4FC: data = 8'b00000000;
1733
      11'h4FD: data = 8'b00000000;
1734
      11'h4FE: data = 8'b00000000;
1735
      11'h4FF: data = 8'b00000000;
1736
 
1737
      11'h500: data = 8'b00000000; // '`'
1738
      11'h501: data = 8'b00100000;
1739
      11'h502: data = 8'b00010000;
1740
      11'h503: data = 8'b00000000;
1741
      11'h504: data = 8'b00000000;
1742
      11'h505: data = 8'b00000000;
1743
      11'h506: data = 8'b00000000;
1744
      11'h507: data = 8'b00000000;
1745
      11'h508: data = 8'b00000000;
1746
      11'h509: data = 8'b00000000;
1747
      11'h50A: data = 8'b00000000;
1748
      11'h50B: data = 8'b00000000;
1749
      11'h50C: data = 8'b00000000;
1750
      11'h50D: data = 8'b00000000;
1751
      11'h50E: data = 8'b00000000;
1752
      11'h50F: data = 8'b00000000;
1753
      11'h510: data = 8'b00000000;
1754
      11'h511: data = 8'b00000000;
1755
      11'h512: data = 8'b00000000;
1756
      11'h513: data = 8'b00000000;
1757
 
1758
      11'h514: data = 8'b00000000; // 'a'
1759
      11'h515: data = 8'b00000000;
1760
      11'h516: data = 8'b00000000;
1761
      11'h517: data = 8'b00000000;
1762
      11'h518: data = 8'b00000000;
1763
      11'h519: data = 8'b00000000;
1764
      11'h51A: data = 8'b00000000;
1765
      11'h51B: data = 8'b00000000;
1766
      11'h51C: data = 8'b01111100;
1767
      11'h51D: data = 8'b00000010;
1768
      11'h51E: data = 8'b00111110;
1769
      11'h51F: data = 8'b01000010;
1770
      11'h520: data = 8'b01000010;
1771
      11'h521: data = 8'b01000010;
1772
      11'h522: data = 8'b00111100;
1773
      11'h523: data = 8'b00000000;
1774
      11'h524: data = 8'b00000000;
1775
      11'h525: data = 8'b00000000;
1776
      11'h526: data = 8'b00000000;
1777
      11'h527: data = 8'b00000000;
1778
 
1779
      11'h528: data = 8'b00000000; // 'b'
1780
      11'h529: data = 8'b00000000;
1781
      11'h52A: data = 8'b00000000;
1782
      11'h52B: data = 8'b00000000;
1783
      11'h52C: data = 8'b00000000;
1784
      11'h52D: data = 8'b00000000;
1785
      11'h52E: data = 8'b00000000;
1786
      11'h52F: data = 8'b01000000;
1787
      11'h530: data = 8'b01000000;
1788
      11'h531: data = 8'b01000000;
1789
      11'h532: data = 8'b01111100;
1790
      11'h533: data = 8'b01000010;
1791
      11'h534: data = 8'b01000010;
1792
      11'h535: data = 8'b01000010;
1793
      11'h536: data = 8'b01111100;
1794
      11'h537: data = 8'b00000000;
1795
      11'h538: data = 8'b00000000;
1796
      11'h539: data = 8'b00000000;
1797
      11'h53A: data = 8'b00000000;
1798
      11'h53B: data = 8'b00000000;
1799
 
1800
      11'h53C: data = 8'b00000000; // 'c'
1801
      11'h53D: data = 8'b00000000;
1802
      11'h53E: data = 8'b00000000;
1803
      11'h53F: data = 8'b00000000;
1804
      11'h540: data = 8'b00000000;
1805
      11'h541: data = 8'b00000000;
1806
      11'h542: data = 8'b00000000;
1807
      11'h543: data = 8'b00000000;
1808
      11'h544: data = 8'b00000000;
1809
      11'h545: data = 8'b00111100;
1810
      11'h546: data = 8'b01000010;
1811
      11'h547: data = 8'b01000000;
1812
      11'h548: data = 8'b01000000;
1813
      11'h549: data = 8'b01000010;
1814
      11'h54A: data = 8'b00111100;
1815
      11'h54B: data = 8'b00000000;
1816
      11'h54C: data = 8'b00000000;
1817
      11'h54D: data = 8'b00000000;
1818
      11'h54E: data = 8'b00000000;
1819
      11'h54F: data = 8'b00000000;
1820
 
1821
      11'h550: data = 8'b00000000; // 'd'
1822
      11'h551: data = 8'b00000000;
1823
      11'h552: data = 8'b00000000;
1824
      11'h553: data = 8'b00000000;
1825
      11'h554: data = 8'b00000000;
1826
      11'h555: data = 8'b00000000;
1827
      11'h556: data = 8'b00000000;
1828
      11'h557: data = 8'b00000010;
1829
      11'h558: data = 8'b00000010;
1830
      11'h559: data = 8'b00000010;
1831
      11'h55A: data = 8'b00111110;
1832
      11'h55B: data = 8'b01000010;
1833
      11'h55C: data = 8'b01000010;
1834
      11'h55D: data = 8'b01000010;
1835
      11'h55E: data = 8'b00111110;
1836
      11'h55F: data = 8'b00000000;
1837
      11'h560: data = 8'b00000000;
1838
      11'h561: data = 8'b00000000;
1839
      11'h562: data = 8'b00000000;
1840
      11'h563: data = 8'b00000000;
1841
 
1842
      11'h564: data = 8'b00000000; // 'e'
1843
      11'h565: data = 8'b00000000;
1844
      11'h566: data = 8'b00000000;
1845
      11'h567: data = 8'b00000000;
1846
      11'h568: data = 8'b00000000;
1847
      11'h569: data = 8'b00000000;
1848
      11'h56A: data = 8'b00000000;
1849
      11'h56B: data = 8'b00000000;
1850
      11'h56C: data = 8'b00000000;
1851
      11'h56D: data = 8'b00000000;
1852
      11'h56E: data = 8'b00111100;
1853
      11'h56F: data = 8'b01000010;
1854
      11'h570: data = 8'b01111110;
1855
      11'h571: data = 8'b01000000;
1856
      11'h572: data = 8'b00111100;
1857
      11'h573: data = 8'b00000000;
1858
      11'h574: data = 8'b00000000;
1859
      11'h575: data = 8'b00000000;
1860
      11'h576: data = 8'b00000000;
1861
      11'h577: data = 8'b00000000;
1862
 
1863
      11'h578: data = 8'b00000000; // 'f'
1864
      11'h579: data = 8'b00000000;
1865
      11'h57A: data = 8'b00000000;
1866
      11'h57B: data = 8'b00000000;
1867
      11'h57C: data = 8'b00000000;
1868
      11'h57D: data = 8'b00000000;
1869
      11'h57E: data = 8'b00000000;
1870
      11'h57F: data = 8'b00001110;
1871
      11'h580: data = 8'b00010000;
1872
      11'h581: data = 8'b00010000;
1873
      11'h582: data = 8'b00111110;
1874
      11'h583: data = 8'b00010000;
1875
      11'h584: data = 8'b00010000;
1876
      11'h585: data = 8'b00010000;
1877
      11'h586: data = 8'b00010000;
1878
      11'h587: data = 8'b00000000;
1879
      11'h588: data = 8'b00000000;
1880
      11'h589: data = 8'b00000000;
1881
      11'h58A: data = 8'b00000000;
1882
      11'h58B: data = 8'b00000000;
1883
 
1884
      11'h58C: data = 8'b00000000; // 'g'
1885
      11'h58D: data = 8'b00000000;
1886
      11'h58E: data = 8'b00000000;
1887
      11'h58F: data = 8'b00000000;
1888
      11'h590: data = 8'b00000000;
1889
      11'h591: data = 8'b00000000;
1890
      11'h592: data = 8'b00000000;
1891
      11'h593: data = 8'b00000000;
1892
      11'h594: data = 8'b00000000;
1893
      11'h595: data = 8'b00000000;
1894
      11'h596: data = 8'b00111100;
1895
      11'h597: data = 8'b01000010;
1896
      11'h598: data = 8'b01111110;
1897
      11'h599: data = 8'b00000010;
1898
      11'h59A: data = 8'b01111100;
1899
      11'h59B: data = 8'b00000000;
1900
      11'h59C: data = 8'b00000000;
1901
      11'h59D: data = 8'b00000000;
1902
      11'h59E: data = 8'b00000000;
1903
      11'h59F: data = 8'b00000000;
1904
 
1905
      11'h5A0: data = 8'b00000000; // 'h'
1906
      11'h5A1: data = 8'b00000000;
1907
      11'h5A2: data = 8'b00000000;
1908
      11'h5A3: data = 8'b00000000;
1909
      11'h5A4: data = 8'b00000000;
1910
      11'h5A5: data = 8'b00000000;
1911
      11'h5A6: data = 8'b00000000;
1912
      11'h5A7: data = 8'b00000000;
1913
      11'h5A8: data = 8'b01000000;
1914
      11'h5A9: data = 8'b01000000;
1915
      11'h5AA: data = 8'b01000000;
1916
      11'h5AB: data = 8'b01111100;
1917
      11'h5AC: data = 8'b01000010;
1918
      11'h5AD: data = 8'b01000010;
1919
      11'h5AE: data = 8'b01000010;
1920
      11'h5AF: data = 8'b00000000;
1921
      11'h5B0: data = 8'b00000000;
1922
      11'h5B1: data = 8'b00000000;
1923
      11'h5B2: data = 8'b00000000;
1924
      11'h5B3: data = 8'b00000000;
1925
 
1926
      11'h5B4: data = 8'b00000000; // 'i'
1927
      11'h5B5: data = 8'b00000000;
1928
      11'h5B6: data = 8'b00000000;
1929
      11'h5B7: data = 8'b00000000;
1930
      11'h5B8: data = 8'b00000000;
1931
      11'h5B9: data = 8'b00000000;
1932
      11'h5BA: data = 8'b00000000;
1933
      11'h5BB: data = 8'b00000000;
1934
      11'h5BC: data = 8'b00000000;
1935
      11'h5BD: data = 8'b00000000;
1936
      11'h5BE: data = 8'b00010000;
1937
      11'h5BF: data = 8'b00000000;
1938
      11'h5C0: data = 8'b00010000;
1939
      11'h5C1: data = 8'b00010000;
1940
      11'h5C2: data = 8'b00111000;
1941
      11'h5C3: data = 8'b00000000;
1942
      11'h5C4: data = 8'b00000000;
1943
      11'h5C5: data = 8'b00000000;
1944
      11'h5C6: data = 8'b00000000;
1945
      11'h5C7: data = 8'b00000000;
1946
 
1947
      11'h5C8: data = 8'b00000000; // 'j'
1948
      11'h5C9: data = 8'b00000000;
1949
      11'h5CA: data = 8'b00000000;
1950
      11'h5CB: data = 8'b00000000;
1951
      11'h5CC: data = 8'b00000000;
1952
      11'h5CD: data = 8'b00000000;
1953
      11'h5CE: data = 8'b00000000;
1954
      11'h5CF: data = 8'b00000000;
1955
      11'h5D0: data = 8'b00000000;
1956
      11'h5D1: data = 8'b00000010;
1957
      11'h5D2: data = 8'b00000000;
1958
      11'h5D3: data = 8'b00001110;
1959
      11'h5D4: data = 8'b00000010;
1960
      11'h5D5: data = 8'b00000010;
1961
      11'h5D6: data = 8'b00000010;
1962
      11'h5D7: data = 8'b00000010;
1963
      11'h5D8: data = 8'b00000010;
1964
      11'h5D9: data = 8'b01111100;
1965
      11'h5DA: data = 8'b00000000;
1966
      11'h5DB: data = 8'b00000000;
1967
 
1968
      11'h5DC: data = 8'b00000000; // 'k'
1969
      11'h5DD: data = 8'b00000000;
1970
      11'h5DE: data = 8'b00000000;
1971
      11'h5DF: data = 8'b00000000;
1972
      11'h5E0: data = 8'b00000000;
1973
      11'h5E1: data = 8'b00000000;
1974
      11'h5E2: data = 8'b00000000;
1975
      11'h5E3: data = 8'b00000000;
1976
      11'h5E4: data = 8'b00000000;
1977
      11'h5E5: data = 8'b01000000;
1978
      11'h5E6: data = 8'b01001000;
1979
      11'h5E7: data = 8'b01010000;
1980
      11'h5E8: data = 8'b01100000;
1981
      11'h5E9: data = 8'b01010000;
1982
      11'h5EA: data = 8'b01001000;
1983
      11'h5EB: data = 8'b00000000;
1984
      11'h5EC: data = 8'b00000000;
1985
      11'h5ED: data = 8'b00000000;
1986
      11'h5EE: data = 8'b00000000;
1987
      11'h5EF: data = 8'b00000000;
1988
 
1989
      11'h5F0: data = 8'b00000000; // 'l'
1990
      11'h5F1: data = 8'b00000000;
1991
      11'h5F2: data = 8'b00000000;
1992
      11'h5F3: data = 8'b00000000;
1993
      11'h5F4: data = 8'b00000000;
1994
      11'h5F5: data = 8'b00000000;
1995
      11'h5F6: data = 8'b00000000;
1996
      11'h5F7: data = 8'b00000000;
1997
      11'h5F8: data = 8'b00000000;
1998
      11'h5F9: data = 8'b00000000;
1999
      11'h5FA: data = 8'b00011000;
2000
      11'h5FB: data = 8'b00001000;
2001
      11'h5FC: data = 8'b00001000;
2002
      11'h5FD: data = 8'b00001000;
2003
      11'h5FE: data = 8'b00111100;
2004
      11'h5FF: data = 8'b00000000;
2005
      11'h600: data = 8'b00000000;
2006
      11'h601: data = 8'b00000000;
2007
      11'h602: data = 8'b00000000;
2008
      11'h603: data = 8'b00000000;
2009
 
2010
      11'h604: data = 8'b00000000; // 'm'
2011
      11'h605: data = 8'b00000000;
2012
      11'h606: data = 8'b00000000;
2013
      11'h607: data = 8'b00000000;
2014
      11'h608: data = 8'b00000000;
2015
      11'h609: data = 8'b00000000;
2016
      11'h60A: data = 8'b00000000;
2017
      11'h60B: data = 8'b00000000;
2018
      11'h60C: data = 8'b00000000;
2019
      11'h60D: data = 8'b00000000;
2020
      11'h60E: data = 8'b00100100;
2021
      11'h60F: data = 8'b01011010;
2022
      11'h610: data = 8'b01000010;
2023
      11'h611: data = 8'b01000010;
2024
      11'h612: data = 8'b01000010;
2025
      11'h613: data = 8'b00000000;
2026
      11'h614: data = 8'b00000000;
2027
      11'h615: data = 8'b00000000;
2028
      11'h616: data = 8'b00000000;
2029
      11'h617: data = 8'b00000000;
2030
 
2031
      11'h618: data = 8'b00000000; // 'n'
2032
      11'h619: data = 8'b00000000;
2033
      11'h61A: data = 8'b00000000;
2034
      11'h61B: data = 8'b00000000;
2035
      11'h61C: data = 8'b00000000;
2036
      11'h61D: data = 8'b00000000;
2037
      11'h61E: data = 8'b00000000;
2038
      11'h61F: data = 8'b00000000;
2039
      11'h620: data = 8'b00000000;
2040
      11'h621: data = 8'b00000000;
2041
      11'h622: data = 8'b01011100;
2042
      11'h623: data = 8'b01100010;
2043
      11'h624: data = 8'b01000010;
2044
      11'h625: data = 8'b01000010;
2045
      11'h626: data = 8'b01000010;
2046
      11'h627: data = 8'b00000000;
2047
      11'h628: data = 8'b00000000;
2048
      11'h629: data = 8'b00000000;
2049
      11'h62A: data = 8'b00000000;
2050
      11'h62B: data = 8'b00000000;
2051
 
2052
      11'h62C: data = 8'b00000000; // 'o'
2053
      11'h62D: data = 8'b00000000;
2054
      11'h62E: data = 8'b00000000;
2055
      11'h62F: data = 8'b00000000;
2056
      11'h630: data = 8'b00000000;
2057
      11'h631: data = 8'b00000000;
2058
      11'h632: data = 8'b00000000;
2059
      11'h633: data = 8'b00000000;
2060
      11'h634: data = 8'b00000000;
2061
      11'h635: data = 8'b00000000;
2062
      11'h636: data = 8'b00111100;
2063
      11'h637: data = 8'b01000010;
2064
      11'h638: data = 8'b01000010;
2065
      11'h639: data = 8'b01000010;
2066
      11'h63A: data = 8'b00111100;
2067
      11'h63B: data = 8'b00000000;
2068
      11'h63C: data = 8'b00000000;
2069
      11'h63D: data = 8'b00000000;
2070
      11'h63E: data = 8'b00000000;
2071
      11'h63F: data = 8'b00000000;
2072
 
2073
      11'h640: data = 8'b00000000; // 'p'
2074
      11'h641: data = 8'b00000000;
2075
      11'h642: data = 8'b00000000;
2076
      11'h643: data = 8'b00000000;
2077
      11'h644: data = 8'b00000000;
2078
      11'h645: data = 8'b00000000;
2079
      11'h646: data = 8'b00000000;
2080
      11'h647: data = 8'b00000000;
2081
      11'h648: data = 8'b00000000;
2082
      11'h649: data = 8'b00000000;
2083
      11'h64A: data = 8'b01111100;
2084
      11'h64B: data = 8'b01000010;
2085
      11'h64C: data = 8'b01000010;
2086
      11'h64D: data = 8'b01000010;
2087
      11'h64E: data = 8'b01111100;
2088
      11'h64F: data = 8'b01000000;
2089
      11'h650: data = 8'b01000000;
2090
      11'h651: data = 8'b01000000;
2091
      11'h652: data = 8'b00000000;
2092
      11'h653: data = 8'b00000000;
2093
 
2094
      11'h654: data = 8'b00000000; // 'q'
2095
      11'h655: data = 8'b00000000;
2096
      11'h656: data = 8'b00000000;
2097
      11'h657: data = 8'b00000000;
2098
      11'h658: data = 8'b00000000;
2099
      11'h659: data = 8'b00000000;
2100
      11'h65A: data = 8'b00000000;
2101
      11'h65B: data = 8'b00000000;
2102
      11'h65C: data = 8'b00000000;
2103
      11'h65D: data = 8'b00000000;
2104
      11'h65E: data = 8'b00111100;
2105
      11'h65F: data = 8'b01000010;
2106
      11'h660: data = 8'b01000010;
2107
      11'h661: data = 8'b01000010;
2108
      11'h662: data = 8'b00111110;
2109
      11'h663: data = 8'b00000010;
2110
      11'h664: data = 8'b00000010;
2111
      11'h665: data = 8'b00000010;
2112
      11'h666: data = 8'b00000010;
2113
      11'h667: data = 8'b00000000;
2114
 
2115
      11'h668: data = 8'b00000000; // 'r'
2116
      11'h669: data = 8'b00000000;
2117
      11'h66A: data = 8'b00000000;
2118
      11'h66B: data = 8'b00000000;
2119
      11'h66C: data = 8'b00000000;
2120
      11'h66D: data = 8'b00000000;
2121
      11'h66E: data = 8'b00000000;
2122
      11'h66F: data = 8'b00000000;
2123
      11'h670: data = 8'b00000000;
2124
      11'h671: data = 8'b00000000;
2125
      11'h672: data = 8'b01011110;
2126
      11'h673: data = 8'b01100000;
2127
      11'h674: data = 8'b01000000;
2128
      11'h675: data = 8'b01000000;
2129
      11'h676: data = 8'b01000000;
2130
      11'h677: data = 8'b00000000;
2131
      11'h678: data = 8'b00000000;
2132
      11'h679: data = 8'b00000000;
2133
      11'h67A: data = 8'b00000000;
2134
      11'h67B: data = 8'b00000000;
2135
 
2136
      11'h67C: data = 8'b00000000; // 's'
2137
      11'h67D: data = 8'b00000000;
2138
      11'h67E: data = 8'b00000000;
2139
      11'h67F: data = 8'b00000000;
2140
      11'h680: data = 8'b00000000;
2141
      11'h681: data = 8'b00000000;
2142
      11'h682: data = 8'b00111110;
2143
      11'h683: data = 8'b01000000;
2144
      11'h684: data = 8'b01000000;
2145
      11'h685: data = 8'b01000000;
2146
      11'h686: data = 8'b00111100;
2147
      11'h687: data = 8'b00000010;
2148
      11'h688: data = 8'b00000010;
2149
      11'h689: data = 8'b00000010;
2150
      11'h68A: data = 8'b01111100;
2151
      11'h68B: data = 8'b00000000;
2152
      11'h68C: data = 8'b00000000;
2153
      11'h68D: data = 8'b00000000;
2154
      11'h68E: data = 8'b00000000;
2155
      11'h68F: data = 8'b00000000;
2156
 
2157
      11'h690: data = 8'b00000000; // 't'
2158
      11'h691: data = 8'b00000000;
2159
      11'h692: data = 8'b00000000;
2160
      11'h693: data = 8'b00000000;
2161
      11'h694: data = 8'b00000000;
2162
      11'h695: data = 8'b00000000;
2163
      11'h696: data = 8'b00000000;
2164
      11'h697: data = 8'b00000000;
2165
      11'h698: data = 8'b00010000;
2166
      11'h699: data = 8'b01111110;
2167
      11'h69A: data = 8'b00010000;
2168
      11'h69B: data = 8'b00010000;
2169
      11'h69C: data = 8'b00010000;
2170
      11'h69D: data = 8'b00010000;
2171
      11'h69E: data = 8'b00001100;
2172
      11'h69F: data = 8'b00000000;
2173
      11'h6A0: data = 8'b00000000;
2174
      11'h6A1: data = 8'b00000000;
2175
      11'h6A2: data = 8'b00000000;
2176
      11'h6A3: data = 8'b00000000;
2177
 
2178
      11'h6A4: data = 8'b00000000; // 'u'
2179
      11'h6A5: data = 8'b00000000;
2180
      11'h6A6: data = 8'b00000000;
2181
      11'h6A7: data = 8'b00000000;
2182
      11'h6A8: data = 8'b00000000;
2183
      11'h6A9: data = 8'b00000000;
2184
      11'h6AA: data = 8'b00000000;
2185
      11'h6AB: data = 8'b00000000;
2186
      11'h6AC: data = 8'b00000000;
2187
      11'h6AD: data = 8'b00000000;
2188
      11'h6AE: data = 8'b01000010;
2189
      11'h6AF: data = 8'b01000010;
2190
      11'h6B0: data = 8'b01000010;
2191
      11'h6B1: data = 8'b01000110;
2192
      11'h6B2: data = 8'b00111010;
2193
      11'h6B3: data = 8'b00000000;
2194
      11'h6B4: data = 8'b00000000;
2195
      11'h6B5: data = 8'b00000000;
2196
      11'h6B6: data = 8'b00000000;
2197
      11'h6B7: data = 8'b00000000;
2198
 
2199
      11'h6B8: data = 8'b00000000; // 'v'
2200
      11'h6B9: data = 8'b00000000;
2201
      11'h6BA: data = 8'b00000000;
2202
      11'h6BB: data = 8'b00000000;
2203
      11'h6BC: data = 8'b00000000;
2204
      11'h6BD: data = 8'b00000000;
2205
      11'h6BE: data = 8'b00000000;
2206
      11'h6BF: data = 8'b00000000;
2207
      11'h6C0: data = 8'b00000000;
2208
      11'h6C1: data = 8'b00000000;
2209
      11'h6C2: data = 8'b01000010;
2210
      11'h6C3: data = 8'b01000010;
2211
      11'h6C4: data = 8'b01000100;
2212
      11'h6C5: data = 8'b00101000;
2213
      11'h6C6: data = 8'b00010000;
2214
      11'h6C7: data = 8'b00000000;
2215
      11'h6C8: data = 8'b00000000;
2216
      11'h6C9: data = 8'b00000000;
2217
      11'h6CA: data = 8'b00000000;
2218
      11'h6CB: data = 8'b00000000;
2219
 
2220
      11'h6CC: data = 8'b00000000; // 'w'
2221
      11'h6CD: data = 8'b00000000;
2222
      11'h6CE: data = 8'b00000000;
2223
      11'h6CF: data = 8'b00000000;
2224
      11'h6D0: data = 8'b00000000;
2225
      11'h6D1: data = 8'b00000000;
2226
      11'h6D2: data = 8'b00000000;
2227
      11'h6D3: data = 8'b00000000;
2228
      11'h6D4: data = 8'b00000000;
2229
      11'h6D5: data = 8'b00000000;
2230
      11'h6D6: data = 8'b01000010;
2231
      11'h6D7: data = 8'b01000010;
2232
      11'h6D8: data = 8'b01000010;
2233
      11'h6D9: data = 8'b01011010;
2234
      11'h6DA: data = 8'b00100100;
2235
      11'h6DB: data = 8'b00000000;
2236
      11'h6DC: data = 8'b00000000;
2237
      11'h6DD: data = 8'b00000000;
2238
      11'h6DE: data = 8'b00000000;
2239
      11'h6DF: data = 8'b00000000;
2240
 
2241
      11'h6E0: data = 8'b00000000; // 'x'
2242
      11'h6E1: data = 8'b00000000;
2243
      11'h6E2: data = 8'b00000000;
2244
      11'h6E3: data = 8'b00000000;
2245
      11'h6E4: data = 8'b00000000;
2246
      11'h6E5: data = 8'b00000000;
2247
      11'h6E6: data = 8'b00000000;
2248
      11'h6E7: data = 8'b00000000;
2249
      11'h6E8: data = 8'b00000000;
2250
      11'h6E9: data = 8'b01000010;
2251
      11'h6EA: data = 8'b00100100;
2252
      11'h6EB: data = 8'b00011000;
2253
      11'h6EC: data = 8'b00011000;
2254
      11'h6ED: data = 8'b00100100;
2255
      11'h6EE: data = 8'b01000010;
2256
      11'h6EF: data = 8'b00000000;
2257
      11'h6F0: data = 8'b00000000;
2258
      11'h6F1: data = 8'b00000000;
2259
      11'h6F2: data = 8'b00000000;
2260
      11'h6F3: data = 8'b00000000;
2261
 
2262
      11'h6F4: data = 8'b00000000; // 'y'
2263
      11'h6F5: data = 8'b00000000;
2264
      11'h6F6: data = 8'b00000000;
2265
      11'h6F7: data = 8'b00000000;
2266
      11'h6F8: data = 8'b00000000;
2267
      11'h6F9: data = 8'b00000000;
2268
      11'h6FA: data = 8'b00000000;
2269
      11'h6FB: data = 8'b00000000;
2270
      11'h6FC: data = 8'b00000000;
2271
      11'h6FD: data = 8'b00000000;
2272
      11'h6FE: data = 8'b01000010;
2273
      11'h6FF: data = 8'b01000010;
2274
      11'h700: data = 8'b01000010;
2275
      11'h701: data = 8'b01000010;
2276
      11'h702: data = 8'b00111110;
2277
      11'h703: data = 8'b00000010;
2278
      11'h704: data = 8'b00000010;
2279
      11'h705: data = 8'b00000010;
2280
      11'h706: data = 8'b01111100;
2281
      11'h707: data = 8'b00000000;
2282
 
2283
      11'h708: data = 8'b00000000; // 'z'
2284
      11'h709: data = 8'b00000000;
2285
      11'h70A: data = 8'b00000000;
2286
      11'h70B: data = 8'b00000000;
2287
      11'h70C: data = 8'b00000000;
2288
      11'h70D: data = 8'b00000000;
2289
      11'h70E: data = 8'b00000000;
2290
      11'h70F: data = 8'b00000000;
2291
      11'h710: data = 8'b00000000;
2292
      11'h711: data = 8'b01111110;
2293
      11'h712: data = 8'b00000100;
2294
      11'h713: data = 8'b00001000;
2295
      11'h714: data = 8'b00010000;
2296
      11'h715: data = 8'b00100000;
2297
      11'h716: data = 8'b01111110;
2298
      11'h717: data = 8'b00000000;
2299
      11'h718: data = 8'b00000000;
2300
      11'h719: data = 8'b00000000;
2301
      11'h71A: data = 8'b00000000;
2302
      11'h71B: data = 8'b00000000;
2303
 
2304
      11'h71C: data = 8'b00000000; // '{'
2305
      11'h71D: data = 8'b00000110;
2306
      11'h71E: data = 8'b00001000;
2307
      11'h71F: data = 8'b00001000;
2308
      11'h720: data = 8'b00001000;
2309
      11'h721: data = 8'b00001000;
2310
      11'h722: data = 8'b00001000;
2311
      11'h723: data = 8'b00001000;
2312
      11'h724: data = 8'b00110000;
2313
      11'h725: data = 8'b00001000;
2314
      11'h726: data = 8'b00001000;
2315
      11'h727: data = 8'b00001000;
2316
      11'h728: data = 8'b00001000;
2317
      11'h729: data = 8'b00001000;
2318
      11'h72A: data = 8'b00000110;
2319
      11'h72B: data = 8'b00000000;
2320
      11'h72C: data = 8'b00000000;
2321
      11'h72D: data = 8'b00000000;
2322
      11'h72E: data = 8'b00000000;
2323
      11'h72F: data = 8'b00000000;
2324
 
2325
      11'h730: data = 8'b00000000; // '|'
2326
      11'h731: data = 8'b00010000;
2327
      11'h732: data = 8'b00010000;
2328
      11'h733: data = 8'b00010000;
2329
      11'h734: data = 8'b00010000;
2330
      11'h735: data = 8'b00010000;
2331
      11'h736: data = 8'b00010000;
2332
      11'h737: data = 8'b00010000;
2333
      11'h738: data = 8'b00010000;
2334
      11'h739: data = 8'b00010000;
2335
      11'h73A: data = 8'b00010000;
2336
      11'h73B: data = 8'b00010000;
2337
      11'h73C: data = 8'b00010000;
2338
      11'h73D: data = 8'b00010000;
2339
      11'h73E: data = 8'b00010000;
2340
      11'h73F: data = 8'b00000000;
2341
      11'h740: data = 8'b00000000;
2342
      11'h741: data = 8'b00000000;
2343
      11'h742: data = 8'b00000000;
2344
      11'h743: data = 8'b00000000;
2345
 
2346
      11'h744: data = 8'b00000000; // '}'
2347
      11'h745: data = 8'b01100000;
2348
      11'h746: data = 8'b00010000;
2349
      11'h747: data = 8'b00010000;
2350
      11'h748: data = 8'b00010000;
2351
      11'h749: data = 8'b00010000;
2352
      11'h74A: data = 8'b00010000;
2353
      11'h74B: data = 8'b00010000;
2354
      11'h74C: data = 8'b00001100;
2355
      11'h74D: data = 8'b00010000;
2356
      11'h74E: data = 8'b00010000;
2357
      11'h74F: data = 8'b00010000;
2358
      11'h750: data = 8'b00010000;
2359
      11'h751: data = 8'b00010000;
2360
      11'h752: data = 8'b01100000;
2361
      11'h753: data = 8'b00000000;
2362
      11'h754: data = 8'b00000000;
2363
      11'h755: data = 8'b00000000;
2364
      11'h756: data = 8'b00000000;
2365
      11'h757: data = 8'b00000000;
2366
 
2367
      11'h758: data = 8'b00000000; // '~'
2368
      11'h759: data = 8'b00010000;
2369
      11'h75A: data = 8'b00101010;
2370
      11'h75B: data = 8'b01000100;
2371
      11'h75C: data = 8'b00000000;
2372
      11'h75D: data = 8'b00000000;
2373
      11'h75E: data = 8'b00000000;
2374
      11'h75F: data = 8'b00000000;
2375
      11'h760: data = 8'b00000000;
2376
      11'h761: data = 8'b00000000;
2377
      11'h762: data = 8'b00000000;
2378
      11'h763: data = 8'b00000000;
2379
      11'h764: data = 8'b00000000;
2380
      11'h765: data = 8'b00000000;
2381
      11'h766: data = 8'b00000000;
2382
      11'h767: data = 8'b00000000;
2383
      11'h768: data = 8'b00000000;
2384
      11'h769: data = 8'b00000000;
2385
      11'h76A: data = 8'b00000000;
2386
      11'h76B: data = 8'b00000000;
2387
 
2388
      default data = 8'b00000000; // blank
2389
 
2390
   endcase
2391
 
2392
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.