OpenCores
URL https://opencores.org/ocsvn/cryptopan_core/cryptopan_core/trunk

Subversion Repositories cryptopan_core

[/] [cryptopan_core/] [trunk/] [tb/] [sbsr_tb.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tonyb33
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
use work.cryptopan.all;
5
 
6
 
7
entity sbsr_tb is
8
 
9
end sbsr_tb;
10
 
11
 
12
architecture tb of sbsr_tb is
13
 
14
  component subbytesshiftrows
15
    port (
16
      bytes_in  : in  s_vector;
17
      bytes_out : out s_vector;
18
      clk       : in  std_logic;
19
      reset     : in  std_logic);
20
  end component;
21
 
22
  component mixcolumns
23
    port (
24
      bytes_in  : in  s_vector;
25
      bytes_out : out s_vector;
26
      clk       : in  std_logic;
27
      reset     : in  std_logic);
28
  end component;
29
 
30
  signal clk : std_logic;
31
  signal reset : std_logic;
32
 
33
  signal bytes_in : s_vector;
34
  signal bytes_out : s_vector;
35
  signal mix_bytes_out : s_vector;
36
 
37
begin  -- tb
38
 
39
  CLKGEN: process
40
  begin  -- process CLKGEN
41
    clk <= '1';
42
    wait for 5 ns;
43
    clk <= '0';
44
    wait for 5 ns;
45
  end process CLKGEN;
46
 
47
 
48
  SUBBYTESSHIFTROWS0: subbytesshiftrows
49
    port map (
50
        bytes_in  => bytes_in,
51
        bytes_out => bytes_out,
52
        clk       => clk,
53
        reset     => reset);
54
 
55
  MIX0: mixcolumns
56
    port map (
57
        bytes_in  => bytes_out,
58
        bytes_out => mix_bytes_out,
59
        clk       => clk,
60
        reset     => reset);
61
 
62
 
63
  TB: process
64
  begin  -- process TB
65
    reset <= '1';
66
    wait for 55 ns;
67
 
68
    reset <= '0';
69
 
70
    wait for 20 ns;
71
    bytes_in(0) <= X"19";
72
    bytes_in(1) <= X"A0";
73
    bytes_in(2) <= X"9A";
74
    bytes_in(3) <= X"E9";
75
    bytes_in(4) <= X"3D";
76
    bytes_in(5) <= X"F4";
77
    bytes_in(6) <= X"C6";
78
    bytes_in(7) <= X"F8";
79
    bytes_in(8) <= X"E3";
80
    bytes_in(9) <= X"E2";
81
    bytes_in(10) <= X"8D";
82
    bytes_in(11) <= X"48";
83
    bytes_in(12) <= X"BE";
84
    bytes_in(13) <= X"2B";
85
    bytes_in(14) <= X"2A";
86
    bytes_in(15) <= X"08";
87
    wait for 10 ns;
88
    bytes_in(0) <= X"A4";
89
    bytes_in(1) <= X"68";
90
    bytes_in(2) <= X"6B";
91
    bytes_in(3) <= X"02";
92
    bytes_in(4) <= X"9C";
93
    bytes_in(5) <= X"9F";
94
    bytes_in(6) <= X"5B";
95
    bytes_in(7) <= X"6A";
96
    bytes_in(8) <= X"7F";
97
    bytes_in(9) <= X"35";
98
    bytes_in(10) <= X"EA";
99
    bytes_in(11) <= X"50";
100
    bytes_in(12) <= X"F2";
101
    bytes_in(13) <= X"2B";
102
    bytes_in(14) <= X"43";
103
    bytes_in(15) <= X"49";
104
    wait for 10 ns;
105
 
106
    wait;
107
 
108
  end process TB;
109
end tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.