OpenCores
URL https://opencores.org/ocsvn/cryptosorter/cryptosorter/trunk

Subversion Repositories cryptosorter

[/] [cryptosorter/] [trunk/] [memocodeDesignContest2008/] [common/] [Memocode08Types.bsv] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 kfleming
/*
2
Copyright (c) 2008 MIT
3
 
4
Permission is hereby granted, free of charge, to any person
5
obtaining a copy of this software and associated documentation
6
files (the "Software"), to deal in the Software without
7
restriction, including without limitation the rights to use,
8
copy, modify, merge, publish, distribute, sublicense, and/or sell
9
copies of the Software, and to permit persons to whom the
10
Software is furnished to do so, subject to the following
11
conditions:
12
 
13
The above copyright notice and this permission notice shall be
14
included in all copies or substantial portions of the Software.
15
 
16
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
17
EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES
18
OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
19
NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT
20
HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
21
WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
22
FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR
23
OTHER DEALINGS IN THE SOFTWARE.
24
 
25
Author: Kermin Fleming
26
*/
27
import Vector::*;
28
 
29
`define AddrWidth 32
30
typedef 32 AddrWidth;
31
typedef Bit#(`AddrWidth) Addr;
32
 
33
`define RecordNum 8
34
typedef 8 RecordNum;
35
 
36
`define RecordWidth 128
37
typedef 128 RecordWidth;
38
typedef Bit#(`RecordWidth) Record;
39
 
40
`define ReadPortNum 1
41
typedef 1 ReadPortNum;
42
`define WritePortNum 1
43
typedef 1 WritePortNum;
44
 
45
//`define RecordsPerMemRequest 4
46
//typedef 4 RecordsPerMemRequest;
47
`define RecordsPerMemRequest 8
48
typedef 8 RecordsPerMemRequest;
49
 
50
 
51
// we could get away with 32'h400000, saving one bit on
52
// RecAddr in mkCtro.bsv (mdk);
53
typedef 32'h00800000 MemBankSelector;
54
 
55
interface Read;
56
    method Action readReq(Addr addr);
57
    method ActionValue#(Record) read();
58
endinterface
59
 
60
interface Write;
61
    method Action writeReq(Addr addr);
62
    method Action write(Record record);
63
endinterface
64
 
65
interface ExternalMemory;
66
    method Bool readsPending();
67
    method Bool writesPending();
68
    interface Vector#(`ReadPortNum, Read) read;
69
    interface Vector#(`WritePortNum, Write) write;
70
endinterface
71
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.