OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [bench/] [block_decypher_tb.v] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 simon111
// this is the test bench for block_decypher module
2
 
3
`timescale 10ns/1ns
4
module block_decypher_tb;
5
       reg      [64*8-1:0] tt;
6
       reg      [56*8-1:0] kk;
7
       reg      [8*8-1:0]  ib;
8
       wire     [8*8-1:0]  bd;
9
 
10
initial
11
begin
12
        $read_data(
13
                                "../test_dat/block_decypher.in"
14
                               ,tt
15
                  );
16
 
17
        kk=tt [64*8-1:8*8];
18
        ib=tt [8*8-1:0];
19
 
20
        #10;
21
 
22
        $write_data(
23
                                 "../test_dat/block_decypher.out.v"
24
                                ,"w"
25
                                ,bd
26
                   );
27
`ifdef DEBUG
28
        $write_data(
29
                                 "../test_dat/block_decypher.out.v"
30
                                ,"a"
31
                                ,kk
32
                   );
33
        $write_data(
34
                                 "../test_dat/block_decypher.out.v"
35
                                ,"a"
36
                                ,ib
37
                   );
38
`endif
39
 
40
end
41
 
42
block_decypher b(
43
                         .kk(kk)
44
                        ,.ib(ib)
45
                        ,.bd(bd)
46
                );
47
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.