OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [modelsim6.2b/] [csa.mpf] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 simon111
; Copyright 2006 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
verilog = $MODEL_TECH/../verilog
13
vital2000 = $MODEL_TECH/../vital2000
14
std_developerskit = $MODEL_TECH/../std_developerskit
15
synopsys = $MODEL_TECH/../synopsys
16
modelsim_lib = $MODEL_TECH/../modelsim_lib
17
sv_std = $MODEL_TECH/../sv_std
18
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
19
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
20
 
21
work = work
22
[vcom]
23
; VHDL93 variable selects language version as the default.
24
; Default is VHDL-2002.
25
; Value of 0 or 1987 for VHDL-1987.
26
; Value of 1 or 1993 for VHDL-1993.
27
; Default or value of 2 or 2002 for VHDL-2002.
28
VHDL93 = 2002
29
 
30
; Show source line containing error. Default is off.
31
; Show_source = 1
32
 
33
; Turn off unbound-component warnings. Default is on.
34
; Show_Warning1 = 0
35
 
36
; Turn off process-without-a-wait-statement warnings. Default is on.
37
; Show_Warning2 = 0
38
 
39
; Turn off null-range warnings. Default is on.
40
; Show_Warning3 = 0
41
 
42
; Turn off no-space-in-time-literal warnings. Default is on.
43
; Show_Warning4 = 0
44
 
45
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
46
; Show_Warning5 = 0
47
 
48
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
49
; Optimize_1164 = 0
50
 
51
; Turn on resolving of ambiguous function overloading in favor of the
52
; "explicit" function declaration (not the one automatically created by
53
; the compiler for each type declaration). Default is off.
54
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
55
; will match the behavior of synthesis tools.
56
Explicit = 1
57
 
58
; Turn off acceleration of the VITAL packages. Default is to accelerate.
59
; NoVital = 1
60
 
61
; Turn off VITAL compliance checking. Default is checking on.
62
; NoVitalCheck = 1
63
 
64
; Ignore VITAL compliance checking errors. Default is to not ignore.
65
; IgnoreVitalErrors = 1
66
 
67
; Turn off VITAL compliance checking warnings. Default is to show warnings.
68
; Show_VitalChecksWarnings = 0
69
 
70
; Turn off PSL assertion warning messages. Default is to show warnings.
71
; Show_PslChecksWarnings = 0
72
 
73
; Enable parsing of embedded PSL assertions. Default is enabled.
74
; EmbeddedPsl = 0
75
 
76
; Keep silent about case statement static warnings.
77
; Default is to give a warning.
78
; NoCaseStaticError = 1
79
 
80
; Keep silent about warnings caused by aggregates that are not locally static.
81
; Default is to give a warning.
82
; NoOthersStaticError = 1
83
 
84
; Treat as errors:
85
;   case statement static warnings
86
;   warnings caused by aggregates that are not locally static
87
; Overrides NoCaseStaticError, NoOthersStaticError settings.
88
; PedanticErrors = 1
89
 
90
; Turn off inclusion of debugging info within design units.
91
; Default is to include debugging info.
92
; NoDebug = 1
93
 
94
; Turn off "Loading..." messages. Default is messages on.
95
; Quiet = 1
96
 
97
; Turn on some limited synthesis rule compliance checking. Checks only:
98
;    -- signals used (read) by a process must be in the sensitivity list
99
; CheckSynthesis = 1
100
 
101
; Activate optimizations on expressions that do not involve signals,
102
; waits, or function/procedure/task invocations. Default is off.
103
; ScalarOpts = 1
104
 
105
; Turns on lint-style checking.
106
; Show_Lint = 1
107
 
108
; Require the user to specify a configuration for all bindings,
109
; and do not generate a compile time default binding for the
110
; component. This will result in an elaboration error of
111
; 'component not bound' if the user fails to do so. Avoids the rare
112
; issue of a false dependency upon the unused default binding.
113
; RequireConfigForAllDefaultBinding = 1
114
 
115
; Perform default binding at compile time.
116
; Default is to do default binding at load time.
117
; BindAtCompile=1;
118
 
119
; Inhibit range checking on subscripts of arrays. Range checking on
120
; scalars defined with subtypes is inhibited by default.
121
; NoIndexCheck = 1
122
 
123
; Inhibit range checks on all (implicit and explicit) assignments to
124
; scalar objects defined with subtypes.
125
; NoRangeCheck = 1
126
 
127
; Run the 0in tools from within the simulator.
128
; Default value set to 0. Please set it to 1 to invoke 0in.
129
; VcomZeroIn = 1
130
 
131
; Set the options to be passed to the 0in tools.
132
; Default value set to "". Please set it to appropriate options needed.
133
; VcomZeroInOptions = ""
134
 
135
; Turn off code coverage in VHDL subprograms. Default is on.
136
; CoverageNoSub = 0
137
 
138
; Automatically exclude VHDL case statement default branches.
139
; Default is to not exclude.
140
; CoverExcludeDefault = 1
141
 
142
; Turn on code coverage in VHDL generate blocks. Default is off.
143
; CoverGenerate = 1
144
 
145
; Use this directory for compiler temporary files instead of "work/_temp"
146
; CompilerTempDir = /tmp
147
 
148
[vlog]
149
 
150
; Turn off inclusion of debugging info within design units.
151
; Default is to include debugging info.
152
; NoDebug = 1
153
 
154
; Turn on `protect compiler directive processing.
155
; Default is to ignore `protect directives.
156
; Protect = 1
157
 
158
; Turn off "Loading..." messages. Default is messages on.
159
; Quiet = 1
160
 
161
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
162
; Default is off.
163
; Hazard = 1
164
 
165
; Turn on converting regular Verilog identifiers to uppercase. Allows case
166
; insensitivity for module names. Default is no conversion.
167
; UpCase = 1
168
 
169
; Activate optimizations on expressions that do not involve signals,
170
; waits, or function/procedure/task invocations. Default is off.
171
; ScalarOpts = 1
172
 
173
; Turns on lint-style checking.
174
; Show_Lint = 1
175
 
176
; Show source line containing error. Default is off.
177
; Show_source = 1
178
 
179
; Turn on bad option warning. Default is off.
180
; Show_BadOptionWarning = 1
181
 
182
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
183
vlog95compat = 0
184
 
185
; Turn off PSL warning messages. Default is to show warnings.
186
; Show_PslChecksWarnings = 0
187
 
188
; Enable parsing of embedded PSL assertions. Default is enabled.
189
; EmbeddedPsl = 0
190
 
191
; Set the threshold for automatically identifying sparse Verilog memories.
192
; A memory with depth equal to or more than the sparse memory threshold gets
193
; marked as sparse automatically, unless specified otherwise in source code.
194
; The default is 0 (i.e. no memory is automatically given sparse status)
195
; SparseMemThreshold = 1048576
196
 
197
; Set the maximum number of iterations permitted for a generate loop.
198
; Restricting this permits the implementation to recognize infinite
199
; generate loops.
200
; GenerateLoopIterationMax = 100000
201
 
202
; Set the maximum depth permitted for a recursive generate instantiation.
203
; Restricting this permits the implementation to recognize infinite
204
; recursions.
205
; GenerateRecursionDepthMax = 200
206
 
207
; Run the 0in tools from within the simulator.
208
; Default value set to 0. Please set it to 1 to invoke 0in.
209
; VlogZeroIn = 1
210
 
211
; Set the options to be passed to the 0in tools.
212
; Default value set to "". Please set it to appropriate options needed.
213
; VlogZeroInOptions = ""
214
 
215
; Run the 0in tools from within the simulator.
216
; Default value set to 0. Please set it to 1 to invoke 0in.
217
; VoptZeroIn = 1
218
 
219
; Set the options to be passed to the 0in tools.
220
; Default value set to "". Please set it to appropriate options needed.
221
; VoptZeroInOptions = ""
222
 
223
; Set the option to treat all files specified in a vlog invocation as a
224
; single compilation unit. The default value is set to 0 which will treat
225
; each file as a separate compilation unit as specified in the P1800 draft standard.
226
; MultiFileCompilationUnit = 1
227
 
228
; Automatically exclude Verilog case statement default branches.
229
; Default is to not exclude.
230
; CoverExcludeDefault = 1
231
 
232
; Turn on code coverage in VLOG generate blocks. Default is off.
233
; CoverGenerate = 1
234
 
235
[sccom]
236
; Enable use of SCV include files and library.  Default is off.
237
; UseScv = 1
238
 
239
; Add C++ compiler options to the sccom command line by using this variable.
240
; CppOptions = -g
241
 
242
; Use custom C++ compiler located at this path rather than the default path.
243
; The path should point directly at a compiler executable.
244
; CppPath = /usr/bin/g++
245
 
246
; Enable verbose messages from sccom.  Default is off.
247
; SccomVerbose = 1
248
 
249
; sccom logfile.  Default is no logfile.
250
; SccomLogfile = sccom.log
251
 
252
; Enable use of SC_MS include files and library.  Default is off.
253
; UseScMs = 1
254
 
255
[vsim]
256
 
257
; vopt flow
258
; Set to turn on automatic optimization of a design.
259
; Default is on
260
VoptFlow = 1
261
 
262
; vopt automatic SDF
263
; If automatic design optimization is on, enables automatic compilation
264
; of SDF files.
265
; Default is on, uncomment to turn off.
266
; VoptAutoSDFCompile = 0
267
 
268
; Simulator resolution
269
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
270
resolution = 1ns
271
 
272
; User time unit for run commands
273
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
274
; unit specified for Resolution. For example, if Resolution is 100ps,
275
; then UserTimeUnit defaults to ps.
276
; Should generally be set to default.
277
UserTimeUnit = default
278
 
279
; Default run length
280 40 simon111
RunLength = 100 ns
281 19 simon111
 
282
; Maximum iterations that can be run without advancing simulation time
283
IterationLimit = 5000
284
 
285
; Control PSL and Verilog Assume directives during simulation
286
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
287
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
288
; SimulateAssumeDirectives = 1
289
 
290
; Control the simulation of PSL and SVA
291
; These switches can be overridden by the vsim command line switches:
292
;    -psl, -nopsl, -sva, -nosva.
293
; Set SimulatePSL = 0 to disable PSL simulation
294
; Set SimulatePSL = 1 to enable PSL simulation (default)
295
; SimulatePSL = 1
296
; Set SimulateSVA = 0 to disable SVA simulation
297
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
298
; SimulateSVA = 1
299
 
300
; Directives to license manager can be set either as single value or as
301
; space separated multi-values:
302
; vhdl          Immediately reserve a VHDL license
303
; vlog          Immediately reserve a Verilog license
304
; plus          Immediately reserve a VHDL and Verilog license
305
; nomgc         Do not look for Mentor Graphics Licenses
306
; nomti         Do not look for Model Technology Licenses
307
; noqueue       Do not wait in the license queue when a license is not available
308
; viewsim       Try for viewer license but accept simulator license(s) instead
309
;               of queuing for viewer license (PE ONLY)
310
; noviewer      Disable checkout of msimviewer and vsim-viewer license
311
;               features (PE ONLY)
312
; noslvhdl      Disable checkout of qhsimvh and vsim license features
313
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
314
; nomix         Disable checkout of msimhdlmix and hdlmix license features
315
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
316
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
317
;               features
318
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
319
;               hdlmix license features
320
; Single value:
321
; License = plus
322
; Multi-value:
323
; License = noqueue plus
324
 
325
; Stop the simulator after a VHDL/Verilog immediate assertion message
326
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
327 40 simon111
BreakOnAssertion = 3
328 19 simon111
 
329
; VHDL assertion Message Format
330
; %S - Severity Level
331
; %R - Report Message
332
; %T - Time of assertion
333
; %D - Delta
334
; %I - Instance or Region pathname (if available)
335
; %i - Instance pathname with process
336
; %O - Process name
337
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
338
; %P - Instance or Region path without leaf process
339
; %F - File
340
; %L - Line number of assertion or, if assertion is in a subprogram, line
341
;      from which the call is made
342
; %% - Print '%' character
343
; If specific format for assertion level is defined, use its format.
344
; If specific format is not defined for assertion level:
345
; - and if failure occurs during elaboration, use AssertionFormatBreakLine;
346
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
347
;   level), use AssertionFormatBreak;
348
; - otherwise, use AssertionFormat.
349
; AssertionFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
350
; AssertionFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
351
; AssertionFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
352
; AssertionFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
353
; AssertionFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
354
; AssertionFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
355
; AssertionFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
356
; AssertionFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
357
 
358
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
359
; AssertFile = assert.log
360
 
361
 
362
; Simulation Breakpoint messages
363
; This flag controls the display of function names when reporting the location
364
; where the simulator stops do to a breakpoint or fatal error.
365
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
366
; Example wo/function name: # Break at counter.vhd line 44
367
ShowFunctions = 1
368
 
369
 
370
; Default radix for all windows and commands.
371
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
372
DefaultRadix = hexadecimal
373
 
374
; VSIM Startup command
375
; Startup = do startup.do
376
 
377
; File for saving command transcript
378
TranscriptFile = transcript
379
 
380
; File for saving command history
381
; CommandHistory = cmdhist.log
382
 
383
; Specify whether paths in simulator commands should be described
384
; in VHDL or Verilog format.
385
; For VHDL, PathSeparator = /
386
; For Verilog, PathSeparator = .
387
; Must not be the same character as DatasetSeparator.
388
PathSeparator = /
389
 
390
; Specify the dataset separator for fully rooted contexts.
391
; The default is ':'. For example: sim:/top
392
; Must not be the same character as PathSeparator.
393
DatasetSeparator = :
394
 
395
; Specify a unique path separator for the Signal Spy set of functions.
396
; The default will be to use the PathSeparator variable.
397
; Must not be the same character as DatasetSeparator.
398
; SignalSpyPathSeparator = /
399
 
400
; Disable VHDL assertion messages
401
; IgnoreNote = 1
402
; IgnoreWarning = 1
403
; IgnoreError = 1
404
; IgnoreFailure = 1
405
 
406
; Disable System Verilog assertion messages
407
; Info and Warning are disabled by default
408
; IgnoreSVAInfo = 0
409
; IgnoreSVAWarning = 0
410
; IgnoreSVAError = 1
411
; IgnoreSVAFatal = 1
412
 
413
; Default force kind. May be freeze, drive, deposit, or default
414
; or in other terms, fixed, wired, or charged.
415
; A value of "default" will use the signal kind to determine the
416
; force kind, drive for resolved signals, freeze for unresolved signals
417
; DefaultForceKind = freeze
418
 
419
; If zero, open files when elaborated; otherwise, open files on
420
; first read or write.  Default is 0.
421
; DelayFileOpen = 1
422
 
423
; Control VHDL files opened for write.
424
;   0 = Buffered, 1 = Unbuffered
425
UnbufferedOutput = 0
426
 
427
; Control the number of VHDL files open concurrently.
428
; This number should always be less than the current ulimit
429
; setting for max file descriptors.
430
;   0 = unlimited
431
ConcurrentFileLimit = 40
432
 
433
; Control the number of hierarchical regions displayed as
434
; part of a signal name shown in the Wave window.
435
; A value of zero tells VSIM to display the full name.
436
; The default is 0.
437
; WaveSignalNameWidth = 0
438
 
439
; Turn off warnings when changing VHDL constants and generics
440
; Default is 1 to generate warning messages
441
; WarnConstantChange = 0
442
 
443
; Turn off warnings from the std_logic_arith, std_logic_unsigned
444
; and std_logic_signed packages.
445
; StdArithNoWarnings = 1
446
 
447
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
448
; NumericStdNoWarnings = 1
449
 
450
; Control the format of the (VHDL) FOR generate statement label
451
; for each iteration.  Do not quote it.
452
; The format string here must contain the conversion codes %s and %d,
453
; in that order, and no other conversion codes.  The %s represents
454
; the generate_label; the %d represents the generate parameter value
455
; at a particular generate iteration (this is the position number if
456
; the generate parameter is of an enumeration type).  Embedded whitespace
457
; is allowed (but discouraged); leading and trailing whitespace is ignored.
458
; Application of the format must result in a unique scope name over all
459
; such names in the design so that name lookup can function properly.
460
; GenerateFormat = %s__%d
461
 
462
; Specify whether checkpoint files should be compressed.
463
; The default is 1 (compressed).
464
; CheckpointCompressMode = 0
465
 
466
; Specify whether to enable SystemVerilog DPI out-of-the-blue call.
467
; Out-of-the-blue call refers to a SystemVerilog export function call
468
; directly from a C function that don't have the proper context setup
469
; as done in DPI-C import C functions. When this is enabled, one can
470
; call a DPI export function (but not task) from any C code.
471
; The default is 0 (disabled).
472
; DpiOutOfTheBlue = 1
473
 
474
; List of dynamically loaded objects for Verilog PLI applications
475
; Veriuser = veriuser.sl
476
 
477
; Specify default options for the restart command. Options can be one
478
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
479
; DefaultRestartOptions = -force
480
 
481
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
482
; (> 500 megabyte memory footprint). Default is disabled.
483
; Specify number of megabytes to lock.
484
; LockedMemory = 1000
485
 
486
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
487
; This is necessary when C++ files have been compiled with aCC's -AA option.
488
; The default behavior is to use /usr/lib/libCsup.sl.
489
; UseCsupV2 = 1
490
 
491
; Turn on (1) or off (0) WLF file compression.
492
; The default is 1 (compress WLF file).
493
; WLFCompress = 0
494
 
495
; Specify whether to save all design hierarchy (1) in the WLF file
496
; or only regions containing logged signals (0).
497
; The default is 0 (save only regions with logged signals).
498
; WLFSaveAllRegions = 1
499
 
500
; WLF file time limit.  Limit WLF file by time, as closely as possible,
501
; to the specified amount of simulation time.  When the limit is exceeded
502
; the earliest times get truncated from the file.
503
; If both time and size limits are specified the most restrictive is used.
504
; UserTimeUnits are used if time units are not specified.
505
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
506
; WLFTimeLimit = 0
507
 
508
; WLF file size limit.  Limit WLF file size, as closely as possible,
509
; to the specified number of megabytes.  If both time and size limits
510
; are specified then the most restrictive is used.
511
; The default is 0 (no limit).
512
; WLFSizeLimit = 1000
513
 
514
; Specify whether or not a WLF file should be deleted when the
515
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
516
; The default is 0 (do not delete WLF file when simulation ends).
517
; WLFDeleteOnQuit = 1
518
 
519
; Specify whether or not a WLF file should be optimized during
520
; simulation.  If set to 0, the WLF file will not be optimized.
521
; The default is 1, optimize the WLF file.
522
; WLFOptimize = 0
523
 
524
; Specify the name of the WLF file.
525
; The default is vsim.wlf
526
; WLFFilename = vsim.wlf
527
 
528
; WLF reader cache size limit.  Specifies the internal WLF file cache size,
529
; in megabytes, for EACH open WLF file.  A value of 0 turns off the
530
; WLF cache.
531
; The default setting is enabled to 256M per open WLF file.
532
; WLFCacheSize = 1000
533
 
534
; Specify the WLF file event collapse mode.
535
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
536
; 1 = Only record values of logged objects at the end of a simulator iteration.
537
;     (same as -wlfcollapsedelta)
538
; 2 = Only record values of logged objects at the end of a simulator time step.
539
;     (same as -wlfcollapsetime)
540
; The default is 1.
541
; WLFCollapseMode = 0
542
 
543
; Turn on/off undebuggable SystemC type warnings. Default is on.
544
; ShowUndebuggableScTypeWarning = 0
545
 
546
; Turn on/off unassociated SystemC name warnings. Default is off.
547
; ShowUnassociatedScNameWarning = 1
548
 
549
; Set SystemC default time unit.
550
; Set to fs, ps, ns, us, ms, or sec with optional
551
; prefix of 1, 10, or 100.  The default is 1 ns.
552
; The ScTimeUnit value is honored if it is coarser than Resolution.
553
; If ScTimeUnit is finer than Resolution, it is set to the value
554
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
555
; then the default time unit will be 1 ns.  However if Resolution
556
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
557
ScTimeUnit = ns
558
 
559
; Set the SCV relationship name that will be used to identify phase
560
; relations.  If the name given to a transactor relation matches this
561
; name, the transactions involved will be treated as phase transactions
562
ScvPhaseRelationName = mti_phase
563
 
564
 
565
; Do not exit when executing sc_stop().
566
; If this is enabled, the control will be returned to the user before exiting
567
; the simulation. This can make some cleanup tasks easier before kernel exits.
568
; The default is off.
569
; NoExitOnScStop = 1
570
 
571
; Run simulator in assertion debug mode. Default is off.
572
; AssertionDebug = 1
573
 
574
; Turn on/off PSL/SVA concurrent assertion pass enable. Default is on.
575
; AssertionPassEnable = 0
576
 
577
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
578
; AssertionFailEnable = 0
579
 
580
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
581
; Any positive integer, -1 for infinity.
582
; AssertionPassLimit = 1
583
 
584
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
585
; Any positive integer, -1 for infinity.
586
; AssertionFailLimit = 1
587
 
588
; Turn on/off PSL concurrent assertion pass log. Default is off.
589
; The flag does not affect SVA
590
; AssertionPassLog = 1
591
 
592
; Turn on/off PSL concurrent assertion fail log. Default is on.
593
; The flag does not affect SVA
594
; AssertionFailLog = 0
595
 
596
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
597
; 0 = Continue  1 = Break  2 = Exit
598
; AssertionFailAction = 1
599
 
600
; Turn on/off code coverage
601
; CodeCoverage = 0
602
 
603
; Count all code coverage condition and expression truth table rows that match.
604
; CoverCountAll = 1
605
 
606
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
607
; CoverEnable = 0
608
 
609
; Turn on/off PSL/SVA cover log.  Default is off.
610
; CoverLog = 1
611
 
612
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
613
; CoverAtLeast = 2
614
 
615
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
616
; Any positive integer, -1 for infinity.
617
; CoverLimit = 1
618
 
619
; Specify the coverage database filename.  Default is "" (i.e. database is NOT automatically saved on close).
620
; UCDBFilename = vsim.ucdb
621
 
622
; Set weight for all PSL/SVA cover directives.  Default is 1.
623
; CoverWeight = 2
624
 
625
; Check vsim plusargs.  Default is 0 (off).
626
; 0 = Don't check plusargs
627
; 1 = Warning on unrecognized plusarg
628
; 2 = Error and exit on unrecognized plusarg
629
; CheckPlusargs = 1
630
 
631
; Load the specified shared objects with the RTLD_GLOBAL flag.
632
; This gives global visibility to all symbols in the shared objects,
633
; meaning that subsequently loaded shared objects can bind to symbols
634
; in the global shared objects.  The list of shared objects should
635
; be whitespace delimited.  This option is not supported on the
636
; Windows or AIX platforms.
637
; GlobalSharedObjectList = example1.so example2.so example3.so
638
 
639
; Run the 0in tools from within the simulator.
640
; Default value set to 0. Please set it to 1 to invoke 0in.
641
; VsimZeroIn = 1
642
 
643
; Set the options to be passed to the 0in tools.
644
; Default value set to "". Please set it to appropriate options needed.
645
; VsimZeroInOptions = ""
646
 
647
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
648
; Sv_Seed = 0
649
 
650
; Maximum size of dynamic arrays that are resized during randomize().
651
; The default is 1000. A value of 0 indicates no limit.
652
; SolveArrayResizeMax = 1000
653
 
654
; Error message severity when randomize() failure is detected (SystemVerilog).
655
; The default is 0 (no error).
656
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
657
; SolveFailSeverity = 0
658
 
659
; Enable/disable debug information for randomize() failures (SystemVerilog).
660
; The default is 0 (disabled). Set to 1 to enable.
661
; SolveFailDebug = 0
662
 
663
; When SolveFailDebug is enabled, this value specifies the maximum number of
664
; constraint subsets that will be tested for conflicts.
665
; The default is 0 (no limit).
666
; SolveFailDebugLimit = 0
667
 
668
; When SolveFailDebug is eanbled, this value specifies the maximum size of
669
; constraint subsets that will be tested for conflicts.
670
; The default value is 0 (no limit).
671
; SolveFailDebugMaxSet = 0
672
 
673
; Specify random sequence compatiblity with a prior letter release. This
674
; option is used to get the same random sequences during simulation as
675
; as a prior letter release. Only prior letter releases (of the current
676
; number release) are allowed.
677
; Note: To achieve the same random sequences, solver optimizations and/or
678
; bug fixes introduced since the specified release may be disabled -
679
; yielding the performance / behavior of the prior release.
680
; Default value set to "" (random compatibility not required).
681
; SolveRev = ""
682
 
683
; Environment variable expansion of command line arguments has been depricated
684
; in favor shell level expansion.  Universal environment variable expansion
685
; inside -f files is support and continued support for MGC Location Maps provide
686
; alternative methods for handling flexible pathnames.
687
; The following line may be uncommented and the value set to 1 to re-enable this
688
; deprecated behavior.  The default value is 0.
689
; DeprecatedEnvironmentVariableExpansion = 0
690
 
691
; Retroactive Recording uses a limited number of private data channels in the WLF
692
; file.  Too many channels degrade WLF performance.  If the limit is reached,
693
; simulation ends with a fatal error.  You may change this limit as needed, but be
694
; aware of the implications of too many channels.  The value must be an integer
695
; greater than or equal to zero, where zero disables all retroactive recording.
696
; RetroChannelLimit = 20
697
 
698
; Options to give vopt when code coverage is turned on.
699
; Default is "+acc=lprnb -opt=-merge -opt=-suppressAlways"
700
; VoptCoverageOptions = +acc=lprnb -opt=-merge -opt=-suppressAlways
701
 
702
[lmc]
703
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
704
libsm = $MODEL_TECH/libsm.sl
705
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
706
; libsm = $MODEL_TECH/libsm.dll
707
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
708
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
709
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
710
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
711
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
712
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
713
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
714
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
715
;  Logic Modeling's SmartModel SWIFT software (Linux)
716
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
717
 
718
; The simulator's interface to Logic Modeling's hardware modeler SFI software
719
libhm = $MODEL_TECH/libhm.sl
720
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
721
; libhm = $MODEL_TECH/libhm.dll
722
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
723
; libsfi = /lib/hp700/libsfi.sl
724
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
725
; libsfi = /lib/rs6000/libsfi.a
726
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
727
; libsfi = /lib/sun4.solaris/libsfi.so
728
;  Logic Modeling's hardware modeler SFI software (Windows NT)
729
; libsfi = /lib/pcnt/lm_sfi.dll
730
;  Logic Modeling's hardware modeler SFI software (Linux)
731
; libsfi = /lib/linux/libsfi.so
732
 
733
[msg_system]
734
; Change a message severity or suppress a message.
735
; The format is:  = [,...]
736
; Examples:
737
;   note = 3009
738
;   warning = 3033
739
;   error = 3010,3016
740
;   fatal = 3016,3033
741
;   suppress = 3009,3016,3043
742
; The command verror  can be used to get the complete
743
; description of a message.
744
 
745
; Control transcripting of elaboration/runtime messages.
746
; The default is to have messages appear in the transcript and
747
; recorded in the wlf file (messages that are recorded in the
748
; wlf file can be viewed in the MsgViewer).  The other settings
749
; are to send messages only to the transcript or only to the
750
; wlf file.  The valid values are
751
;    both  {default}
752
;    tran  {transcript only}
753
;    wlf   {wlf file only}
754
; msgmode = both
755
[Project]
756
Project_Version = 6
757
Project_DefaultLib = work
758
Project_SortMethod = unused
759 40 simon111
Project_Files_Count = 1
760
Project_File_0 = /mnt/new_disk/work/csa_svn/csa/csa/trunk/bench/decrypt_tb.v
761
Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type verilog group_id 0 cover_exttoggle 0 cover_cond 0 vlog_nodebug 0 vlog_1995compat 0 vlog_noload 0 folder {Top Level} last_compile 0 cover_fsm 0 cover_branch 0 vlog_enable0In 0 vlog_disableopt 0 vlog_vopt 0 vlog_showsource 0 vlog_hazard 0 vlog_0InOptions {} ood 1 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
762 19 simon111
Project_Sim_Count = 0
763
Project_Folder_Count = 0
764
Echo_Compile_Output = 0
765
Save_Compile_Report = 1
766
Project_Opt_Count = 0
767
ForceSoftPaths = 0
768
ReOpenSourceFiles = 1
769
VERILOG_DoubleClick = Edit
770
VERILOG_CustomDoubleClick =
771
VHDL_DoubleClick = Edit
772
VHDL_CustomDoubleClick =
773
PSL_DoubleClick = Edit
774
PSL_CustomDoubleClick =
775
TEXT_DoubleClick = Edit
776
TEXT_CustomDoubleClick =
777
SYSTEMC_DoubleClick = Edit
778
SYSTEMC_CustomDoubleClick =
779
TCL_DoubleClick = Edit
780
TCL_CustomDoubleClick =
781
MACRO_DoubleClick = Edit
782
MACRO_CustomDoubleClick =
783
VCD_DoubleClick = Edit
784
VCD_CustomDoubleClick =
785
SDF_DoubleClick = Edit
786
SDF_CustomDoubleClick =
787
XML_DoubleClick = Edit
788
XML_CustomDoubleClick =
789
LOGFILE_DoubleClick = Edit
790
LOGFILE_CustomDoubleClick =
791 40 simon111
EditorState = {tabbed horizontal 1} {/mnt/new_disk/work/csa_svn/csa/csa/trunk/bench/decrypt_tb.v 0 1}
792 19 simon111
Project_Major_Version = 6
793
Project_Minor_Version = 2

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.