OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [modelsim6.2b/] [group_decrypt.do] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 simon111
 
2
quit -sim
3
 
4
vlib work
5
vmap work work
6
 
7
 
8
puts {
9
          ModelSimSE general compile script version 1.1
10
            Copyright (c) Doulos June 2004, SD
11
}
12
 
13
# Simply change the project settings in this section
14
# for each new project. There should be no need to
15
# modify the rest of the script.
16
 
17
set library_file_list {
18
                                        ../rtl/decrypt.v
19
                                        ../rtl/key_cnt.v
20
                                        ../rtl/key_perm.v
21
                                        ../rtl/block_decypher.v
22
                                        ../rtl/block_perm.v
23
                                        ../rtl/block_sbox.v
24
                                        ../rtl/key_schedule.v
25
                                        ../rtl/stream_cypher.v
26
                                        ../rtl/stream_iteration.v
27
                                        ../rtl/stream_8bytes.v
28
                                        ../rtl/sboxes.v
29
                                        ../rtl/sbox1.v
30
                                        ../rtl/sbox2.v
31
                                        ../rtl/sbox3.v
32
                                        ../rtl/stream_byte.v
33
                                        ../rtl/sbox4.v
34
                                        ../rtl/sbox5.v
35
                                        ../rtl/sbox6.v
36
                                        ../rtl/sbox7.v
37
                                        ../rtl/group_decrypt.v
38
                                        ../bench/group_decrypt_tb.v
39
}
40
set top_level              work.group_decrypt_tb
41
 
42
set wave_radices {
43
                                   hexadecimal {data q}
44
}
45
 
46
 
47
# After sourcing the script from ModelSim for the
48
# first time use these commands to recompile.
49
 
50
proc r  {} {uplevel #0 source compile.tcl}
51
proc rr {} {global last_compile_time
52
            set last_compile_time 0
53
            r                            }
54
proc q  {} {quit -force                  }
55
 
56
#Does this installation support Tk?
57
set tk_ok 1
58
if [catch {package require Tk}] {set tk_ok 0}
59
 
60
# Prefer a fixed point font for the transcript
61
set PrefMain(font) {Courier 10 roman normal}
62
 
63
# Compile out of date files
64
set time_now [clock seconds]
65
if [catch {set last_compile_time}] {
66
  set last_compile_time 0
67
}
68
  vlib work
69
  vmap work work
70
  foreach file $library_file_list {
71
#    if { $last_compile_time < [file mtime $file] } {
72
      if [regexp {.vhdl?$} $file] {
73
        vcom -93 $file
74
      } else {
75
        vlog $file
76
      }
77
      set last_compile_time 0
78
#    }
79
  }
80
set last_compile_time $time_now
81
 
82
# Load the simulation
83
vsim $top_level -pli ../bench/csa_pli.sl
84
add wave -r /*
85
radix -hexadecimal
86
 
87
 
88
# Run the simulation
89
run -all
90
 
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.