OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [quartus10/] [db/] [csa.db_info] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 simon111
Quartus_Version = Version 8.1 Build 163 10/28/2008 SJ Full Version
2
Version_Index = 151036672
3
Creation_Time = Wed Apr 15 21:03:51 2009

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.