OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [rtl/] [block_perm.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 simon111
 
2 40 simon111
`include "../bench/timescale.v"
3 16 simon111
 
4
module block_perm(in, out);
5 17 simon111
input  [7:0] in;
6 16 simon111
output [7:0] out;
7 17 simon111
reg    [7:0] out;
8 16 simon111
 
9
always @(in)
10
        case (in)
11
        8'h00: out=8'h00;
12
        8'h01: out=8'h02;
13
        8'h02: out=8'h80;
14
        8'h03: out=8'h82;
15
        8'h04: out=8'h20;
16
        8'h05: out=8'h22;
17
        8'h06: out=8'hA0;
18
        8'h07: out=8'hA2;
19
        8'h08: out=8'h10;
20
        8'h09: out=8'h12;
21
        8'h0A: out=8'h90;
22
        8'h0B: out=8'h92;
23
        8'h0C: out=8'h30;
24
        8'h0D: out=8'h32;
25
        8'h0E: out=8'hB0;
26
        8'h0F: out=8'hB2;
27
        8'h10: out=8'h04;
28
        8'h11: out=8'h06;
29
        8'h12: out=8'h84;
30
        8'h13: out=8'h86;
31
        8'h14: out=8'h24;
32
        8'h15: out=8'h26;
33
        8'h16: out=8'hA4;
34
        8'h17: out=8'hA6;
35
        8'h18: out=8'h14;
36
        8'h19: out=8'h16;
37
        8'h1A: out=8'h94;
38
        8'h1B: out=8'h96;
39
        8'h1C: out=8'h34;
40
        8'h1D: out=8'h36;
41
        8'h1E: out=8'hB4;
42
        8'h1F: out=8'hB6;
43
        8'h20: out=8'h40;
44
        8'h21: out=8'h42;
45
        8'h22: out=8'hC0;
46
        8'h23: out=8'hC2;
47
        8'h24: out=8'h60;
48
        8'h25: out=8'h62;
49
        8'h26: out=8'hE0;
50
        8'h27: out=8'hE2;
51
        8'h28: out=8'h50;
52
        8'h29: out=8'h52;
53
        8'h2A: out=8'hD0;
54
        8'h2B: out=8'hD2;
55
        8'h2C: out=8'h70;
56
        8'h2D: out=8'h72;
57
        8'h2E: out=8'hF0;
58
        8'h2F: out=8'hF2;
59
        8'h30: out=8'h44;
60
        8'h31: out=8'h46;
61
        8'h32: out=8'hC4;
62
        8'h33: out=8'hC6;
63
        8'h34: out=8'h64;
64
        8'h35: out=8'h66;
65
        8'h36: out=8'hE4;
66
        8'h37: out=8'hE6;
67
        8'h38: out=8'h54;
68
        8'h39: out=8'h56;
69
        8'h3A: out=8'hD4;
70
        8'h3B: out=8'hD6;
71
        8'h3C: out=8'h74;
72
        8'h3D: out=8'h76;
73
        8'h3E: out=8'hF4;
74
        8'h3F: out=8'hF6;
75
        8'h40: out=8'h01;
76
        8'h41: out=8'h03;
77
        8'h42: out=8'h81;
78
        8'h43: out=8'h83;
79
        8'h44: out=8'h21;
80
        8'h45: out=8'h23;
81
        8'h46: out=8'hA1;
82
        8'h47: out=8'hA3;
83
        8'h48: out=8'h11;
84
        8'h49: out=8'h13;
85
        8'h4A: out=8'h91;
86
        8'h4B: out=8'h93;
87
        8'h4C: out=8'h31;
88
        8'h4D: out=8'h33;
89
        8'h4E: out=8'hB1;
90
        8'h4F: out=8'hB3;
91
        8'h50: out=8'h05;
92
        8'h51: out=8'h07;
93
        8'h52: out=8'h85;
94
        8'h53: out=8'h87;
95
        8'h54: out=8'h25;
96
        8'h55: out=8'h27;
97
        8'h56: out=8'hA5;
98
        8'h57: out=8'hA7;
99
        8'h58: out=8'h15;
100
        8'h59: out=8'h17;
101
        8'h5A: out=8'h95;
102
        8'h5B: out=8'h97;
103
        8'h5C: out=8'h35;
104
        8'h5D: out=8'h37;
105
        8'h5E: out=8'hB5;
106
        8'h5F: out=8'hB7;
107
        8'h60: out=8'h41;
108
        8'h61: out=8'h43;
109
        8'h62: out=8'hC1;
110
        8'h63: out=8'hC3;
111
        8'h64: out=8'h61;
112
        8'h65: out=8'h63;
113
        8'h66: out=8'hE1;
114
        8'h67: out=8'hE3;
115
        8'h68: out=8'h51;
116
        8'h69: out=8'h53;
117
        8'h6A: out=8'hD1;
118
        8'h6B: out=8'hD3;
119
        8'h6C: out=8'h71;
120
        8'h6D: out=8'h73;
121
        8'h6E: out=8'hF1;
122
        8'h6F: out=8'hF3;
123
        8'h70: out=8'h45;
124
        8'h71: out=8'h47;
125
        8'h72: out=8'hC5;
126
        8'h73: out=8'hC7;
127
        8'h74: out=8'h65;
128
        8'h75: out=8'h67;
129
        8'h76: out=8'hE5;
130
        8'h77: out=8'hE7;
131
        8'h78: out=8'h55;
132
        8'h79: out=8'h57;
133
        8'h7A: out=8'hD5;
134
        8'h7B: out=8'hD7;
135
        8'h7C: out=8'h75;
136
        8'h7D: out=8'h77;
137
        8'h7E: out=8'hF5;
138
        8'h7F: out=8'hF7;
139
        8'h80: out=8'h08;
140
        8'h81: out=8'h0A;
141
        8'h82: out=8'h88;
142
        8'h83: out=8'h8A;
143
        8'h84: out=8'h28;
144
        8'h85: out=8'h2A;
145
        8'h86: out=8'hA8;
146
        8'h87: out=8'hAA;
147
        8'h88: out=8'h18;
148
        8'h89: out=8'h1A;
149
        8'h8A: out=8'h98;
150
        8'h8B: out=8'h9A;
151
        8'h8C: out=8'h38;
152
        8'h8D: out=8'h3A;
153
        8'h8E: out=8'hB8;
154
        8'h8F: out=8'hBA;
155
        8'h90: out=8'h0C;
156
        8'h91: out=8'h0E;
157
        8'h92: out=8'h8C;
158
        8'h93: out=8'h8E;
159
        8'h94: out=8'h2C;
160
        8'h95: out=8'h2E;
161
        8'h96: out=8'hAC;
162
        8'h97: out=8'hAE;
163
        8'h98: out=8'h1C;
164
        8'h99: out=8'h1E;
165
        8'h9A: out=8'h9C;
166
        8'h9B: out=8'h9E;
167
        8'h9C: out=8'h3C;
168
        8'h9D: out=8'h3E;
169
        8'h9E: out=8'hBC;
170
        8'h9F: out=8'hBE;
171
        8'hA0: out=8'h48;
172
        8'hA1: out=8'h4A;
173
        8'hA2: out=8'hC8;
174
        8'hA3: out=8'hCA;
175
        8'hA4: out=8'h68;
176
        8'hA5: out=8'h6A;
177
        8'hA6: out=8'hE8;
178
        8'hA7: out=8'hEA;
179
        8'hA8: out=8'h58;
180
        8'hA9: out=8'h5A;
181
        8'hAA: out=8'hD8;
182
        8'hAB: out=8'hDA;
183
        8'hAC: out=8'h78;
184
        8'hAD: out=8'h7A;
185
        8'hAE: out=8'hF8;
186
        8'hAF: out=8'hFA;
187
        8'hB0: out=8'h4C;
188
        8'hB1: out=8'h4E;
189
        8'hB2: out=8'hCC;
190
        8'hB3: out=8'hCE;
191
        8'hB4: out=8'h6C;
192
        8'hB5: out=8'h6E;
193
        8'hB6: out=8'hEC;
194
        8'hB7: out=8'hEE;
195
        8'hB8: out=8'h5C;
196
        8'hB9: out=8'h5E;
197
        8'hBA: out=8'hDC;
198
        8'hBB: out=8'hDE;
199
        8'hBC: out=8'h7C;
200
        8'hBD: out=8'h7E;
201
        8'hBE: out=8'hFC;
202
        8'hBF: out=8'hFE;
203
        8'hC0: out=8'h09;
204
        8'hC1: out=8'h0B;
205
        8'hC2: out=8'h89;
206
        8'hC3: out=8'h8B;
207
        8'hC4: out=8'h29;
208
        8'hC5: out=8'h2B;
209
        8'hC6: out=8'hA9;
210
        8'hC7: out=8'hAB;
211
        8'hC8: out=8'h19;
212
        8'hC9: out=8'h1B;
213
        8'hCA: out=8'h99;
214
        8'hCB: out=8'h9B;
215
        8'hCC: out=8'h39;
216
        8'hCD: out=8'h3B;
217
        8'hCE: out=8'hB9;
218
        8'hCF: out=8'hBB;
219
        8'hD0: out=8'h0D;
220
        8'hD1: out=8'h0F;
221
        8'hD2: out=8'h8D;
222
        8'hD3: out=8'h8F;
223
        8'hD4: out=8'h2D;
224
        8'hD5: out=8'h2F;
225
        8'hD6: out=8'hAD;
226
        8'hD7: out=8'hAF;
227
        8'hD8: out=8'h1D;
228
        8'hD9: out=8'h1F;
229
        8'hDA: out=8'h9D;
230
        8'hDB: out=8'h9F;
231
        8'hDC: out=8'h3D;
232
        8'hDD: out=8'h3F;
233
        8'hDE: out=8'hBD;
234
        8'hDF: out=8'hBF;
235
        8'hE0: out=8'h49;
236
        8'hE1: out=8'h4B;
237
        8'hE2: out=8'hC9;
238
        8'hE3: out=8'hCB;
239
        8'hE4: out=8'h69;
240
        8'hE5: out=8'h6B;
241
        8'hE6: out=8'hE9;
242
        8'hE7: out=8'hEB;
243
        8'hE8: out=8'h59;
244
        8'hE9: out=8'h5B;
245
        8'hEA: out=8'hD9;
246
        8'hEB: out=8'hDB;
247
        8'hEC: out=8'h79;
248
        8'hED: out=8'h7B;
249
        8'hEE: out=8'hF9;
250
        8'hEF: out=8'hFB;
251
        8'hF0: out=8'h4D;
252
        8'hF1: out=8'h4F;
253
        8'hF2: out=8'hCD;
254
        8'hF3: out=8'hCF;
255
        8'hF4: out=8'h6D;
256
        8'hF5: out=8'h6F;
257
        8'hF6: out=8'hED;
258
        8'hF7: out=8'hEF;
259
        8'hF8: out=8'h5D;
260
        8'hF9: out=8'h5F;
261
        8'hFA: out=8'hDD;
262
        8'hFB: out=8'hDF;
263
        8'hFC: out=8'h7D;
264
        8'hFD: out=8'h7F;
265
        8'hFE: out=8'hFD;
266
        8'hFF: out=8'hFF;
267
 
268
        endcase
269
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.