OpenCores
URL https://opencores.org/ocsvn/csa/csa/trunk

Subversion Repositories csa

[/] [csa/] [trunk/] [rtl/] [makefile] - Blame information for rev 45

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 simon111
PROJ_NAME ?=decrypt
2 15 simon111
DEBUG ?=y
3 8 simon111
 
4 15 simon111
ifeq ($(DEBUG),y)
5
VFLAGS=-DDEBUG
6
else
7
VFLAGS=
8
endif
9
 
10 13 simon111
all:$(PROJ_NAME)
11
 
12 8 simon111
key_perm:
13 15 simon111
        iverilog -S $(VFLAGS) -tnull $@.v
14 11 simon111
 
15 13 simon111
key_schedule:
16 45 simon111
        iverilog -S $(VFLAGS) -tnull $@.v          \
17
                        key_perm.v
18 13 simon111
 
19 16 simon111
block_decypher:
20 45 simon111
        iverilog -S $(VFLAGS) -tnull $@.v          \
21
                        block_perm.v               \
22
                        block_sbox.v
23 16 simon111
 
24 20 simon111
stream_cypher:
25 45 simon111
        iverilog -S $(VFLAGS) -tnull $@.v          \
26
                sbox1.v                            \
27
                sbox2.v                            \
28
                sbox3.v                            \
29
                sbox4.v                            \
30
                sbox5.v                            \
31
                sbox6.v                            \
32
                sbox7.v                            \
33
                sboxes.v                           \
34
                stream_iteration.v                 \
35
                stream_byte.v                      \
36
                stream_8bytes.v
37 20 simon111
 
38 45 simon111
 
39 18 simon111
decrypt:
40 45 simon111
        iverilog -S $(VFLAGS) -tnull $@.v          \
41
                        block_decypher.v           \
42
                        block_perm.v               \
43
                        block_sbox.v               \
44
                        group_decrypt.v            \
45
                        key_cnt.v                  \
46
                        key_perm.v                 \
47
                        key_schedule.v             \
48
                        sbox1.v                    \
49
                        sbox2.v                    \
50
                        sbox3.v                    \
51
                        sbox4.v                    \
52
                        sbox5.v                    \
53
                        sbox6.v                    \
54
                        sbox7.v                    \
55
                        sboxes.v                   \
56
                        stream_8bytes.v            \
57
                        stream_byte.v              \
58
                        stream_cypher.v            \
59
                        stream_iteration.v         \
60
                        ts_serial_out.v            \
61
                        ts_sync.v
62
 
63 18 simon111
 
64 11 simon111
clean:
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.