OpenCores
URL https://opencores.org/ocsvn/darkriscv/darkriscv/trunk

Subversion Repositories darkriscv

[/] [darkriscv/] [trunk/] [boards/] [qmtech_spartan7_s15/] [darksocv.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marcelos
# QMTech Spartan-7 board
2
 
3
set_property CFGBVS VCCO                        [current_design]
4
set_property CONFIG_VOLTAGE 3.3                 [current_design]
5
 
6
set_property -dict { PACKAGE_PIN H11 IOSTANDARD LVCMOS33 } [get_ports { XCLK }];
7
create_clock -add -name sys_clk_pin -period 20.00 -waveform {0 10} [get_ports { XCLK }];
8
 
9
set_property -dict { PACKAGE_PIN B6  IOSTANDARD LVCMOS33 } [get_ports { XRES }];
10
 
11
set_property -dict { PACKAGE_PIN N4  IOSTANDARD LVCMOS33 } [get_ports { UART_RXD }];
12
set_property -dict { PACKAGE_PIN P5  IOSTANDARD LVCMOS33 } [get_ports { UART_TXD }];
13
 
14
set_property -dict { PACKAGE_PIN E4  IOSTANDARD LVCMOS33 } [get_ports { LED[3] }];
15
set_property -dict { PACKAGE_PIN B1  IOSTANDARD LVCMOS33 } [get_ports { LED[2] }];
16
set_property -dict { PACKAGE_PIN L5  IOSTANDARD LVCMOS33 } [get_ports { LED[1] }];
17
set_property -dict { PACKAGE_PIN C4  IOSTANDARD LVCMOS33 } [get_ports { LED[0] }];
18
 
19
set_property -dict { PACKAGE_PIN B5  IOSTANDARD LVCMOS33 } [get_ports { DEBUG[3] }];
20
set_property -dict { PACKAGE_PIN D3  IOSTANDARD LVCMOS33 } [get_ports { DEBUG[2] }];
21
set_property -dict { PACKAGE_PIN A3  IOSTANDARD LVCMOS33 } [get_ports { DEBUG[1] }];
22
set_property -dict { PACKAGE_PIN A2  IOSTANDARD LVCMOS33 } [get_ports { DEBUG[0] }];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.