OpenCores
URL https://opencores.org/ocsvn/darkriscv/darkriscv/trunk

Subversion Repositories darkriscv

[/] [darkriscv/] [trunk/] [rtl/] [darkuart.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 marcelos
/*
2
 * Copyright (c) 2018, Marcelo Samsoniuk
3
 * All rights reserved.
4
 *
5
 * Redistribution and use in source and binary forms, with or without
6
 * modification, are permitted provided that the following conditions are met:
7
 *
8
 * * Redistributions of source code must retain the above copyright notice, this
9
 *   list of conditions and the following disclaimer.
10
 *
11
 * * Redistributions in binary form must reproduce the above copyright notice,
12
 *   this list of conditions and the following disclaimer in the documentation
13
 *   and/or other materials provided with the distribution.
14
 *
15
 * * Neither the name of the copyright holder nor the names of its
16
 *   contributors may be used to endorse or promote products derived from
17
 *   this software without specific prior written permission.
18
 *
19
 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
20
 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
21
 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
22
 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
23
 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
24
 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
25
 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
26
 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
27
 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
28
 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
29
 */
30
 
31
`timescale 1ns / 1ps
32
`include "../rtl/config.vh"
33
 
34
// the following defines are automatically defined:
35
/*
36
`ifdef __ICARUS__
37
    `define SIMULATION 1
38
`endif
39
 
40
`ifdef XILINX_ISIM
41
    `define SIMULATION 2
42
`endif
43
 
44
`ifdef MODEL_TECH
45
    `define SIMULATION 3
46
`endif
47
 
48
`ifdef XILINX_SIMULATOR
49
    `define SIMULATION 4
50
`endif
51
*/
52
// uart states
53
 
54
`define UART_STATE_IDLE  6
55
`define UART_STATE_START 7
56
`define UART_STATE_DATA0 8
57
`define UART_STATE_DATA1 9
58
`define UART_STATE_DATA2 10
59
`define UART_STATE_DATA3 11
60
`define UART_STATE_DATA4 12
61
`define UART_STATE_DATA5 13
62
`define UART_STATE_DATA6 14
63
`define UART_STATE_DATA7 15
64
`define UART_STATE_STOP  0
65
`define UART_STATE_ACK   1
66
 
67
// UART registers
68
// 
69
// 0: status register ro, 1 = xmit busy, 2 = recv busy
70
// 1: buffer register rw, w = xmit fifo, r = recv fifo
71
// 2: baud rate msb   rw (not used)
72
// 3: baud rate lsb   rw (not used)
73
 
74
module darkuart
75
//#(
76
// parameter [15:0] BAUD = 0
77
//) 
78
(
79
    input           CLK,            // clock
80
    input           RES,            // reset
81
 
82
    input           RD,             // bus read
83
    input           WR,             // bus write
84
    input  [ 3:0]   BE,             // byte enable
85
    input  [31:0]   DATAI,          // data input
86
    output [31:0]   DATAO,          // data output
87
    output          IRQ,            // interrupt req
88
 
89
    input           RXD,            // UART recv line
90
    output          TXD,            // UART xmit line
91 4 marcelos
 
92
`ifdef SIMULATION
93
    output reg      FINISH_REQ = 0,
94
`endif
95 2 marcelos
 
96
    output [3:0]    DEBUG           // osc debug
97
);
98
 
99
    reg [15:0]  UART_TIMER = `__BAUD__;  // baud rate from config.vh
100
    reg         UART_IREQ  = 0;     // UART interrupt req
101
    reg         UART_IACK  = 0;     // UART interrupt ack
102
 
103
    reg [ 7:0]  UART_XFIFO = 0;     // UART TX FIFO
104
    reg         UART_XREQ  = 0;     // xmit request (core side)
105
    reg         UART_XACK  = 0;     // xmit ack (uart side)
106
    reg [15:0]  UART_XBAUD = 0;    // baud rate counter
107
    reg [ 3:0]  UART_XSTATE= 0;     // idle state
108
 
109
    reg [ 7:0]  UART_RFIFO = 0;     // UART RX FIFO
110
    reg         UART_RREQ  = 0;     // request (uart side)
111
    reg         UART_RACK  = 0;     // ack (core side)
112
    reg [15:0]  UART_RBAUD = 0;    // baud rate counter
113
    reg [ 3:0]  UART_RSTATE= 0;     // idle state
114
 
115
    reg [2:0]   UART_RXDFF = -1;
116
 
117
    wire [7:0]  UART_STATE = { 6'd0, UART_RREQ^UART_RACK, UART_XREQ^UART_XACK };
118
 
119
    reg [7:0]   UART_STATEFF = 0;
120
 
121
    // bus interface
122
 
123
    reg [31:0] DATAOFF = 0;
124
 
125
    always@(posedge CLK)
126
    begin
127
        if(WR)
128
        begin
129
            if(BE[1])
130
            begin
131
                UART_XFIFO <= DATAI[15:8];
132
`ifdef SIMULATION
133
                // print the UART output to console! :)
134
                if(DATAI[15:8]!=13) // remove the '\r'
135
                begin
136
                    $write("%c",DATAI[15:8]);
137
                end
138
 
139
                if(DATAI[15:8]=="#") // break point
140
                begin
141
                    $display("[checkpoint #]");
142
                    $stop();
143
                end
144
 
145
                if(DATAI[15:8]==">") // prompt '>'
146
                begin
147 4 marcelos
                    $display(" no UART input, end simulation request...");
148
                    FINISH_REQ <= 1;
149 2 marcelos
                end
150
`else
151
                UART_XREQ <= !UART_XACK;    // activate UART!
152
`endif
153
            end
154
            //if(BE[2]) UART_TIMER[ 7:0] <= DATAI[23:16];
155
            //if(BE[3]) UART_TIMER[15:8] <= DATAI[31:24];           
156
        end
157
 
158
        if(RES)
159
        begin
160
            UART_RACK <= UART_RREQ;
161
            UART_STATEFF <= UART_STATE;
162
        end
163
        else
164
        if(RD)
165
        begin
166
            if(BE[1]) UART_RACK     <= UART_RREQ; // fifo ready
167
            if(BE[0]) UART_STATEFF <= UART_STATE; // state update, clear irq
168
        end
169
    end
170
 
171
    assign IRQ   = |(UART_STATE^UART_STATEFF);
172
 
173
    assign DATAO = { UART_TIMER, UART_RFIFO, UART_STATE };
174
 
175
    // xmit path: 6(IDLE), 7(START), 8, 9, 10, 11, 12, 13, 14, 15, 0(STOP), 1(ACK)
176
 
177
    always@(posedge CLK)
178
    begin
179
        UART_XBAUD <= UART_XSTATE==`UART_STATE_IDLE ? UART_TIMER :      // xbaud=timer
180
                      UART_XBAUD ? UART_XBAUD-1 : UART_TIMER;           // while() { while(xbaud--); xbaud=timer }
181
 
182
        UART_XSTATE <= RES||UART_XSTATE==`UART_STATE_ACK  ? `UART_STATE_IDLE :
183
                            UART_XSTATE==`UART_STATE_IDLE ? UART_XSTATE+(UART_XREQ^UART_XACK) :
184
                                                            UART_XSTATE+(UART_XBAUD==0);
185
 
186
        UART_XACK   <= RES||UART_XSTATE==`UART_STATE_ACK  ? UART_XREQ : UART_XACK;
187
    end
188
 
189
    assign TXD = UART_XSTATE[3] ? UART_XFIFO[UART_XSTATE[2:0]] : UART_XSTATE==`UART_STATE_START ? 0 : 1;
190
 
191
    // recv path: 6(IDLE), 7(START), 8, 9, 10, 11, 12, 13, 14, 15, 0(STOP), 1(ACK)
192
 
193
    always@(posedge CLK)
194
    begin
195
        UART_RXDFF <= (UART_RXDFF<<1)|RXD;
196
 
197
        UART_RBAUD <= UART_RSTATE==`UART_STATE_IDLE ? { 1'b0, UART_TIMER[15:1] } :    // rbaud=timer/2
198
                      UART_RBAUD ? UART_RBAUD-1 : UART_TIMER;               // while() { while(rbaud--); rbaud=timer }
199
 
200
 
201
        UART_RSTATE <= RES||UART_RSTATE==`UART_STATE_ACK  ? `UART_STATE_IDLE :
202
                            UART_RSTATE==`UART_STATE_IDLE ? UART_RSTATE+(UART_RXDFF[2:1]==2'b10) : // start bit detection
203
                                                            UART_RSTATE+(UART_RBAUD==0);
204
 
205
        UART_RREQ <= UART_RSTATE==`UART_STATE_ACK ? !UART_RACK : UART_RREQ;
206
 
207
        if(UART_RSTATE[3])
208
        begin
209
            UART_RFIFO[UART_RSTATE[2:0]] <= UART_RXDFF[2];
210
        end
211
    end
212
 
213
    //debug
214
 
215
    assign DEBUG = { RXD, TXD, UART_XSTATE!=`UART_STATE_IDLE, UART_RSTATE!=`UART_STATE_IDLE };
216
 
217
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.