OpenCores
URL https://opencores.org/ocsvn/darkriscv/darkriscv/trunk

Subversion Repositories darkriscv

[/] [darkriscv/] [trunk/] [sim/] [darksocv] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 marcelos
#! /usr/local/Cellar/icarus-verilog/10.3/bin/vvp
2
:ivl_version "10.3 (stable)" "(v10_3)";
3
:ivl_delay_selection "TYPICAL";
4
:vpi_time_precision - 12;
5
:vpi_module "system";
6
:vpi_module "vhdl_sys";
7
:vpi_module "v2005_math";
8
:vpi_module "va_math";
9 6 marcelos
S_0x7fd83755b530 .scope module, "darksimv" "darksimv" 2 36;
10 4 marcelos
 .timescale -9 -12;
11 6 marcelos
v0x7fd8375dc4d0_0 .var "CLK", 0 0;
12
v0x7fd8375dc590_0 .var "RES", 0 0;
13
L_0x109b04008 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
14
v0x7fd8375dc620_0 .net "RX", 0 0, L_0x109b04008;  1 drivers
15
v0x7fd8375dc6f0_0 .net "TX", 0 0, L_0x7fd8375e51c0;  1 drivers
16
L_0x7fd8375e6670 .reduce/or v0x7fd8375dc590_0;
17
S_0x7fd837573a70 .scope module, "darksocv" "darksocv" 2 57, 3 34 0, S_0x7fd83755b530;
18 4 marcelos
 .timescale -9 -12;
19
    .port_info 0 /INPUT 1 "XCLK"
20
    .port_info 1 /INPUT 1 "XRES"
21
    .port_info 2 /INPUT 1 "UART_RXD"
22
    .port_info 3 /OUTPUT 1 "UART_TXD"
23
    .port_info 4 /OUTPUT 4 "LED"
24
    .port_info 5 /OUTPUT 4 "DEBUG"
25 6 marcelos
L_0x7fd8375dc7c0 .functor BUFZ 1, v0x7fd8375dc4d0_0, C4<0>, C4<0>, C4<0>;
26
L_0x7fd8375e1600 .functor AND 1, L_0x7fd8375df060, L_0x7fd8375e12d0, C4<1>, C4<1>;
27
L_0x7fd8375e1980 .functor AND 1, L_0x7fd8375e16f0, L_0x7fd8375e1860, C4<1>, C4<1>;
28
L_0x7fd8375e3150 .functor AND 1, L_0x7fd8375e1600, L_0x7fd8375e3030, C4<1>, C4<1>;
29
L_0x7fd8375e38a0 .functor BUFZ 32, v0x7fd8375d4da0_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
30
L_0x7fd8375e3980 .functor XOR 8, v0x7fd8375d43c0_0, v0x7fd8375d3fe0_0, C4<00000000>, C4<00000000>;
31
L_0x7fd8375e3d10 .functor OR 1, L_0x7fd8375e3a50, L_0x7fd8375e4030, C4<0>, C4<0>;
32
L_0x7fd8375e3f20 .functor OR 1, L_0x7fd8375e3d10, L_0x7fd8375e3e40, C4<0>, C4<0>;
33
L_0x7fd8375e5540 .functor AND 1, L_0x7fd8375e5a20, L_0x7fd8375e1600, C4<1>, C4<1>;
34
L_0x7fd8375e41c0 .functor AND 1, L_0x7fd8375e5540, L_0x7fd8375e4120, C4<1>, C4<1>;
35
L_0x7fd8375e5b40 .functor AND 1, L_0x7fd8375e41c0, L_0x7fd8375e4310, C4<1>, C4<1>;
36
L_0x7fd8375e6360 .functor AND 1, L_0x7fd8375e5c90, L_0x7fd8375e1980, C4<1>, C4<1>;
37
L_0x7fd8375e6000 .functor AND 1, L_0x7fd8375e6360, L_0x7fd8375e63d0, C4<1>, C4<1>;
38
L_0x7fd8375e6890 .functor AND 1, L_0x7fd8375e6000, L_0x7fd8375e67f0, C4<1>, C4<1>;
39
v0x7fd8375d33c0_0 .net "BE", 3 0, L_0x7fd8375e32d0;  1 drivers
40
L_0x109b05058 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
41
v0x7fd8375d3450_0 .net "BOARD_CK", 7 0, L_0x109b05058;  1 drivers
42
L_0x109b05010 .functor BUFT 1, C4<01100100>, C4<0>, C4<0>, C4<0>;
43
v0x7fd8375d34e0_0 .net "BOARD_CM", 7 0, L_0x109b05010;  1 drivers
44
L_0x109b04fc8 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
45
v0x7fd8375d3570_0 .net "BOARD_ID", 7 0, L_0x109b04fc8;  1 drivers
46
v0x7fd8375d3600_0 .net "BOARD_IRQ", 7 0, L_0x7fd8375e3980;  1 drivers
47
v0x7fd8375d36b0_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  1 drivers
48
v0x7fd8375d3780_0 .var "DACK", 1 0;
49
v0x7fd8375d3810_0 .net "DADDR", 31 0, L_0x7fd8375f0ae0;  1 drivers
50
v0x7fd8375d38b0_0 .net "DATAI", 31 0, L_0x7fd8375e35b0;  1 drivers
51
v0x7fd8375d39d0_0 .net "DATAO", 31 0, L_0x7fd8375e1010;  1 drivers
52
v0x7fd8375d3a90_0 .net "DEBUG", 3 0, L_0x7fd8375e6550;  1 drivers
53
v0x7fd8375d3b20_0 .net "DHIT", 0 0, L_0x7fd8375e3800;  1 drivers
54
v0x7fd8375d3bb0_0 .net "DLEN", 2 0, L_0x7fd8375f1670;  1 drivers
55
v0x7fd8375d3c60_0 .net "FINISH_REQ", 0 0, v0x7fd8375d1080_0;  1 drivers
56
v0x7fd8375d3d30_0 .var "GPIOFF", 15 0;
57
v0x7fd8375d3dc0_0 .net "HLT", 0 0, L_0x7fd8375e3f20;  1 drivers
58
v0x7fd8375d3e50_0 .var "HLT2", 0 0;
59
v0x7fd8375d3fe0_0 .var "IACK", 7 0;
60
v0x7fd8375d4090_0 .net "IADDR", 31 0, L_0x7fd8375f1c70;  1 drivers
61
v0x7fd8375d4150_0 .net "IDATA", 31 0, L_0x7fd8375dc910;  1 drivers
62
L_0x109b04050 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
63
v0x7fd8375d41e0_0 .net "IHIT", 0 0, L_0x109b04050;  1 drivers
64
v0x7fd8375d4270 .array "IOMUX", 3 0;
65
v0x7fd8375d4270_0 .net v0x7fd8375d4270 0, 31 0, L_0x7fd8375e3750; 1 drivers
66
v0x7fd8375d4270_1 .net v0x7fd8375d4270 1, 31 0, L_0x7fd8375e48d0; 1 drivers
67
v0x7fd8375d4270_2 .net v0x7fd8375d4270 2, 31 0, L_0x7fd8375e3c30; 1 drivers
68
v0x7fd8375d4270_3 .net v0x7fd8375d4270 3, 31 0, L_0x7fd8375e38a0; 1 drivers
69
v0x7fd8375d4310_0 .var "IOMUXFF", 31 0;
70
v0x7fd8375d43c0_0 .var "IREQ", 7 0;
71
v0x7fd8375d4470_0 .var "IRES", 7 0;
72
v0x7fd8375d4520_0 .net "KDEBUG", 3 0, L_0x7fd8375f1d20;  1 drivers
73
v0x7fd8375d45e0_0 .net "LED", 3 0, L_0x7fd8375f1e80;  1 drivers
74
v0x7fd8375d4680_0 .var "LEDFF", 15 0;
75
v0x7fd8375d4730 .array "MEM", 2047 0, 31 0;
76
v0x7fd8375d47d0_0 .var "RAMFF", 31 0;
77
v0x7fd8375d4880_0 .net "RD", 0 0, L_0x7fd8375e1600;  1 drivers
78
v0x7fd8375d4920_0 .net "RES", 0 0, L_0x7fd8375dc870;  1 drivers
79
v0x7fd8375d49f0_0 .var "ROMFF", 31 0;
80
v0x7fd8375d3f00_0 .var "ROMFF2", 31 0;
81
v0x7fd8375d4c80_0 .net "RW", 0 0, L_0x7fd8375f0be0;  1 drivers
82
v0x7fd8375d4d10_0 .var "TIMER", 31 0;
83
v0x7fd8375d4da0_0 .var "TIMERFF", 31 0;
84
v0x7fd8375d4e30_0 .net "UART_RXD", 0 0, L_0x109b04008;  alias, 1 drivers
85
v0x7fd8375d4ee0_0 .net "UART_TXD", 0 0, L_0x7fd8375e51c0;  alias, 1 drivers
86
v0x7fd8375d4f90_0 .net "UDEBUG", 3 0, L_0x7fd8375e5700;  1 drivers
87
L_0x109b04ef0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
88
v0x7fd8375d5040_0 .net "WHIT", 0 0, L_0x109b04ef0;  1 drivers
89
v0x7fd8375d50d0_0 .net "WR", 0 0, L_0x7fd8375e1980;  1 drivers
90
v0x7fd8375d5160_0 .net "XATAI", 31 0, L_0x7fd8375dee20;  1 drivers
91
v0x7fd8375d5220_0 .net "XATAO", 31 0, L_0x7fd8375f0990;  1 drivers
92
v0x7fd8375d52d0_0 .net "XCLK", 0 0, v0x7fd8375dc4d0_0;  1 drivers
93
v0x7fd8375d5360_0 .net "XRES", 0 0, L_0x7fd8375e6670;  1 drivers
94
v0x7fd8375d5400_0 .var "XTIMER", 0 0;
95
v0x7fd8375d54a0_0 .net *"_s101", 0 0, L_0x7fd8375def40;  1 drivers
96
v0x7fd8375d5550_0 .net *"_s103", 1 0, L_0x7fd8375ded10;  1 drivers
97
v0x7fd8375d5600_0 .net *"_s104", 31 0, L_0x7fd8375df180;  1 drivers
98
L_0x109b04488 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
99
v0x7fd8375d56b0_0 .net *"_s107", 29 0, L_0x109b04488;  1 drivers
100
L_0x109b044d0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
101
v0x7fd8375d5760_0 .net/2u *"_s108", 31 0, L_0x109b044d0;  1 drivers
102
v0x7fd8375d5810_0 .net *"_s11", 1 0, L_0x7fd8375dcb30;  1 drivers
103
v0x7fd8375d58c0_0 .net *"_s110", 0 0, L_0x7fd8375df350;  1 drivers
104
v0x7fd8375d5960_0 .net *"_s113", 7 0, L_0x7fd8375df3f0;  1 drivers
105
L_0x109b04518 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
106
v0x7fd8375d5a10_0 .net *"_s114", 23 0, L_0x109b04518;  1 drivers
107
v0x7fd8375d5ac0_0 .net *"_s116", 31 0, L_0x7fd8375df220;  1 drivers
108
v0x7fd8375d5b70_0 .net *"_s119", 1 0, L_0x7fd8375df610;  1 drivers
109
v0x7fd8375d5c20_0 .net *"_s12", 31 0, L_0x7fd8375dcc30;  1 drivers
110
v0x7fd8375d5cd0_0 .net *"_s120", 31 0, L_0x7fd8375df4d0;  1 drivers
111
L_0x109b04560 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
112
v0x7fd8375d5d80_0 .net *"_s123", 29 0, L_0x109b04560;  1 drivers
113
L_0x109b045a8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
114
v0x7fd8375d5e30_0 .net/2u *"_s124", 31 0, L_0x109b045a8;  1 drivers
115
v0x7fd8375d5ee0_0 .net *"_s126", 0 0, L_0x7fd8375df800;  1 drivers
116
L_0x109b045f0 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
117
v0x7fd8375d5f80_0 .net *"_s128", 7 0, L_0x109b045f0;  1 drivers
118
v0x7fd8375d6030_0 .net *"_s131", 7 0, L_0x7fd8375df6b0;  1 drivers
119
L_0x109b04638 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
120
v0x7fd8375d4aa0_0 .net *"_s132", 15 0, L_0x109b04638;  1 drivers
121
v0x7fd8375d4b50_0 .net *"_s134", 31 0, L_0x7fd8375df750;  1 drivers
122
v0x7fd8375d60c0_0 .net *"_s137", 1 0, L_0x7fd8375df920;  1 drivers
123
v0x7fd8375d6150_0 .net *"_s138", 31 0, L_0x7fd8375df9c0;  1 drivers
124
L_0x109b04680 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
125
v0x7fd8375d61e0_0 .net *"_s141", 29 0, L_0x109b04680;  1 drivers
126
L_0x109b046c8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
127
v0x7fd8375d6270_0 .net/2u *"_s142", 31 0, L_0x109b046c8;  1 drivers
128
v0x7fd8375d6300_0 .net *"_s144", 0 0, L_0x7fd8375dfb40;  1 drivers
129
L_0x109b04710 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
130
v0x7fd8375d63a0_0 .net *"_s146", 15 0, L_0x109b04710;  1 drivers
131
v0x7fd8375d6450_0 .net *"_s149", 7 0, L_0x7fd8375dff30;  1 drivers
132
L_0x109b04098 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
133
v0x7fd8375d6500_0 .net *"_s15", 29 0, L_0x109b04098;  1 drivers
134
L_0x109b04758 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
135
v0x7fd8375d65b0_0 .net *"_s150", 7 0, L_0x109b04758;  1 drivers
136
v0x7fd8375d6660_0 .net *"_s152", 31 0, L_0x7fd8375dfdb0;  1 drivers
137
L_0x109b047a0 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
138
v0x7fd8375d6710_0 .net *"_s154", 23 0, L_0x109b047a0;  1 drivers
139
v0x7fd8375d67c0_0 .net *"_s157", 7 0, L_0x7fd8375e01e0;  1 drivers
140
v0x7fd8375d6870_0 .net *"_s158", 31 0, L_0x7fd8375e0050;  1 drivers
141
L_0x109b040e0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
142
v0x7fd8375d6920_0 .net/2u *"_s16", 31 0, L_0x109b040e0;  1 drivers
143
v0x7fd8375d69d0_0 .net *"_s160", 31 0, L_0x7fd8375e0130;  1 drivers
144
v0x7fd8375d6a80_0 .net *"_s162", 31 0, L_0x7fd8375e04f0;  1 drivers
145
v0x7fd8375d6b30_0 .net *"_s164", 31 0, L_0x7fd8375e0650;  1 drivers
146
v0x7fd8375d6be0_0 .net *"_s167", 0 0, L_0x7fd8375e0340;  1 drivers
147
v0x7fd8375d6c90_0 .net *"_s169", 0 0, L_0x7fd8375e08b0;  1 drivers
148
v0x7fd8375d6d40_0 .net *"_s170", 31 0, L_0x7fd8375e06f0;  1 drivers
149
L_0x109b047e8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
150
v0x7fd8375d6df0_0 .net *"_s173", 30 0, L_0x109b047e8;  1 drivers
151
L_0x109b04830 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
152
v0x7fd8375d6ea0_0 .net/2u *"_s174", 31 0, L_0x109b04830;  1 drivers
153
v0x7fd8375d6f50_0 .net *"_s176", 0 0, L_0x7fd8375e07d0;  1 drivers
154
v0x7fd8375d6ff0_0 .net *"_s179", 15 0, L_0x7fd8375e0950;  1 drivers
155
v0x7fd8375d70a0_0 .net *"_s18", 0 0, L_0x7fd8375dcdd0;  1 drivers
156
L_0x109b04878 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
157
v0x7fd8375d7140_0 .net *"_s180", 15 0, L_0x109b04878;  1 drivers
158
v0x7fd8375d71f0_0 .net *"_s182", 31 0, L_0x7fd8375e09f0;  1 drivers
159
L_0x109b048c0 .functor BUFT 1, C4, C4<0>, C4<0>, C4<0>;
160
v0x7fd8375d72a0_0 .net *"_s184", 15 0, L_0x109b048c0;  1 drivers
161
v0x7fd8375d7350_0 .net *"_s187", 15 0, L_0x7fd8375e0d50;  1 drivers
162
v0x7fd8375d7400_0 .net *"_s188", 31 0, L_0x7fd8375e0df0;  1 drivers
163
v0x7fd8375d74b0_0 .net *"_s190", 31 0, L_0x7fd8375e0b60;  1 drivers
164
v0x7fd8375d7560_0 .net *"_s192", 31 0, L_0x7fd8375e1110;  1 drivers
165
L_0x109b04908 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
166
v0x7fd8375d7610_0 .net/2u *"_s196", 2 0, L_0x109b04908;  1 drivers
167
v0x7fd8375d76c0_0 .net *"_s198", 0 0, L_0x7fd8375df060;  1 drivers
168
v0x7fd8375d7760_0 .net *"_s200", 31 0, L_0x7fd8375e11b0;  1 drivers
169
L_0x109b04950 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
170
v0x7fd8375d7810_0 .net *"_s203", 30 0, L_0x109b04950;  1 drivers
171
L_0x109b04998 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
172
v0x7fd8375d78c0_0 .net/2u *"_s204", 31 0, L_0x109b04998;  1 drivers
173
v0x7fd8375d7970_0 .net *"_s206", 0 0, L_0x7fd8375e12d0;  1 drivers
174
v0x7fd8375d7a10_0 .net *"_s21", 7 0, L_0x7fd8375dcf10;  1 drivers
175
L_0x109b049e0 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
176
v0x7fd8375d7ac0_0 .net/2u *"_s210", 2 0, L_0x109b049e0;  1 drivers
177
v0x7fd8375d7b70_0 .net *"_s212", 0 0, L_0x7fd8375e16f0;  1 drivers
178
v0x7fd8375d7c10_0 .net *"_s214", 31 0, L_0x7fd8375e1a90;  1 drivers
179
L_0x109b04a28 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
180
v0x7fd8375d7cc0_0 .net *"_s217", 30 0, L_0x109b04a28;  1 drivers
181
L_0x109b04a70 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
182
v0x7fd8375d7d70_0 .net/2u *"_s218", 31 0, L_0x109b04a70;  1 drivers
183
v0x7fd8375d7e20_0 .net *"_s22", 31 0, L_0x7fd8375dcff0;  1 drivers
184
v0x7fd8375d7ed0_0 .net *"_s220", 0 0, L_0x7fd8375e1860;  1 drivers
185
v0x7fd8375d7f70_0 .net *"_s225", 0 0, L_0x7fd8375e1d70;  1 drivers
186
v0x7fd8375d8020_0 .net *"_s227", 1 0, L_0x7fd8375e1b30;  1 drivers
187
v0x7fd8375d80d0_0 .net *"_s228", 31 0, L_0x7fd8375e1bd0;  1 drivers
188
L_0x109b04ab8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
189
v0x7fd8375d8180_0 .net *"_s231", 29 0, L_0x109b04ab8;  1 drivers
190
L_0x109b04b00 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
191
v0x7fd8375d8230_0 .net/2u *"_s232", 31 0, L_0x109b04b00;  1 drivers
192
v0x7fd8375d82e0_0 .net *"_s234", 0 0, L_0x7fd8375e1c70;  1 drivers
193
L_0x109b04b48 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
194
v0x7fd8375d8380_0 .net/2u *"_s236", 3 0, L_0x109b04b48;  1 drivers
195
v0x7fd8375d8430_0 .net *"_s239", 1 0, L_0x7fd8375e21b0;  1 drivers
196
v0x7fd8375d84e0_0 .net *"_s240", 31 0, L_0x7fd8375e1f10;  1 drivers
197
L_0x109b04b90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
198
v0x7fd8375d8590_0 .net *"_s243", 29 0, L_0x109b04b90;  1 drivers
199
L_0x109b04bd8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
200
v0x7fd8375d8640_0 .net/2u *"_s244", 31 0, L_0x109b04bd8;  1 drivers
201
v0x7fd8375d86f0_0 .net *"_s246", 0 0, L_0x7fd8375e2030;  1 drivers
202
L_0x109b04c20 .functor BUFT 1, C4<0100>, C4<0>, C4<0>, C4<0>;
203
v0x7fd8375d8790_0 .net/2u *"_s248", 3 0, L_0x109b04c20;  1 drivers
204
L_0x109b04128 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
205
v0x7fd8375d8840_0 .net *"_s25", 23 0, L_0x109b04128;  1 drivers
206
v0x7fd8375d88f0_0 .net *"_s251", 1 0, L_0x7fd8375e24d0;  1 drivers
207
v0x7fd8375d89a0_0 .net *"_s252", 31 0, L_0x7fd8375e2570;  1 drivers
208
L_0x109b04c68 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
209
v0x7fd8375d8a50_0 .net *"_s255", 29 0, L_0x109b04c68;  1 drivers
210
L_0x109b04cb0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
211
v0x7fd8375d8b00_0 .net/2u *"_s256", 31 0, L_0x109b04cb0;  1 drivers
212
v0x7fd8375d8bb0_0 .net *"_s258", 0 0, L_0x7fd8375e2290;  1 drivers
213
L_0x109b04cf8 .functor BUFT 1, C4<0010>, C4<0>, C4<0>, C4<0>;
214
v0x7fd8375d8c50_0 .net/2u *"_s260", 3 0, L_0x109b04cf8;  1 drivers
215
L_0x109b04d40 .functor BUFT 1, C4<0001>, C4<0>, C4<0>, C4<0>;
216
v0x7fd8375d8d00_0 .net/2u *"_s262", 3 0, L_0x109b04d40;  1 drivers
217
v0x7fd8375d8db0_0 .net *"_s264", 3 0, L_0x7fd8375e23d0;  1 drivers
218
v0x7fd8375d8e60_0 .net *"_s266", 3 0, L_0x7fd8375e2990;  1 drivers
219
v0x7fd8375d8f10_0 .net *"_s268", 3 0, L_0x7fd8375e2af0;  1 drivers
220
v0x7fd8375d8fc0_0 .net *"_s27", 1 0, L_0x7fd8375dd190;  1 drivers
221
v0x7fd8375d9070_0 .net *"_s271", 0 0, L_0x7fd8375e2730;  1 drivers
222
v0x7fd8375d9120_0 .net *"_s273", 0 0, L_0x7fd8375e27d0;  1 drivers
223
v0x7fd8375d91d0_0 .net *"_s274", 31 0, L_0x7fd8375e2870;  1 drivers
224
L_0x109b04d88 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
225
v0x7fd8375d9280_0 .net *"_s277", 30 0, L_0x109b04d88;  1 drivers
226
L_0x109b04dd0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
227
v0x7fd8375d9330_0 .net/2u *"_s278", 31 0, L_0x109b04dd0;  1 drivers
228
v0x7fd8375d93e0_0 .net *"_s28", 31 0, L_0x7fd8375dd280;  1 drivers
229
v0x7fd8375d9490_0 .net *"_s280", 0 0, L_0x7fd8375e2ed0;  1 drivers
230
L_0x109b04e18 .functor BUFT 1, C4<1100>, C4<0>, C4<0>, C4<0>;
231
v0x7fd8375d9530_0 .net/2u *"_s282", 3 0, L_0x109b04e18;  1 drivers
232
L_0x109b04e60 .functor BUFT 1, C4<0011>, C4<0>, C4<0>, C4<0>;
233
v0x7fd8375d95e0_0 .net/2u *"_s284", 3 0, L_0x109b04e60;  1 drivers
234
v0x7fd8375d9690_0 .net *"_s286", 3 0, L_0x7fd8375e2b90;  1 drivers
235
L_0x109b04ea8 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
236
v0x7fd8375d9740_0 .net/2u *"_s288", 3 0, L_0x109b04ea8;  1 drivers
237
v0x7fd8375d97f0_0 .net *"_s290", 3 0, L_0x7fd8375e2cf0;  1 drivers
238
v0x7fd8375d98a0_0 .net *"_s296", 31 0, L_0x7fd8375e3470;  1 drivers
239
L_0x109b04f38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
240
v0x7fd8375d9950_0 .net *"_s299", 29 0, L_0x109b04f38;  1 drivers
241
L_0x109b04f80 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
242
v0x7fd8375d9a00_0 .net/2u *"_s300", 31 0, L_0x109b04f80;  1 drivers
243
v0x7fd8375d9ab0_0 .net *"_s302", 0 0, L_0x7fd8375e3030;  1 drivers
244
v0x7fd8375d9b50_0 .net *"_s304", 0 0, L_0x7fd8375e3150;  1 drivers
245
v0x7fd8375d9bf0_0 .net *"_s309", 0 0, L_0x7fd8375e3510;  1 drivers
246
L_0x109b04170 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
247
v0x7fd8375d9ca0_0 .net *"_s31", 29 0, L_0x109b04170;  1 drivers
248
L_0x109b041b8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
249
v0x7fd8375d9d50_0 .net/2u *"_s32", 31 0, L_0x109b041b8;  1 drivers
250
v0x7fd8375d9e00_0 .net *"_s330", 0 0, L_0x7fd8375e3a50;  1 drivers
251
v0x7fd8375d9ea0_0 .net *"_s332", 0 0, L_0x7fd8375e4030;  1 drivers
252
v0x7fd8375d9f40_0 .net *"_s333", 0 0, L_0x7fd8375e3d10;  1 drivers
253
v0x7fd8375d9fe0_0 .net *"_s336", 0 0, L_0x7fd8375e3e40;  1 drivers
254
v0x7fd8375da080_0 .net *"_s34", 0 0, L_0x7fd8375dd360;  1 drivers
255
v0x7fd8375da120_0 .net *"_s340", 0 0, L_0x7fd8375e5a20;  1 drivers
256
v0x7fd8375da1c0_0 .net *"_s341", 0 0, L_0x7fd8375e5540;  1 drivers
257
v0x7fd8375da260_0 .net *"_s344", 0 0, L_0x7fd8375e4120;  1 drivers
258
v0x7fd8375da310_0 .net *"_s345", 0 0, L_0x7fd8375e41c0;  1 drivers
259
v0x7fd8375da3b0_0 .net *"_s348", 1 0, L_0x7fd8375e4230;  1 drivers
260
v0x7fd8375da460_0 .net *"_s349", 31 0, L_0x7fd8375dfca0;  1 drivers
261
L_0x109b05370 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
262
v0x7fd8375da510_0 .net *"_s352", 29 0, L_0x109b05370;  1 drivers
263
L_0x109b053b8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
264
v0x7fd8375da5c0_0 .net/2u *"_s353", 31 0, L_0x109b053b8;  1 drivers
265
v0x7fd8375da670_0 .net *"_s355", 0 0, L_0x7fd8375e4310;  1 drivers
266
v0x7fd8375da710_0 .net *"_s360", 0 0, L_0x7fd8375e5c90;  1 drivers
267
v0x7fd8375da7b0_0 .net *"_s361", 0 0, L_0x7fd8375e6360;  1 drivers
268
v0x7fd8375da850_0 .net *"_s364", 0 0, L_0x7fd8375e63d0;  1 drivers
269
v0x7fd8375da900_0 .net *"_s365", 0 0, L_0x7fd8375e6000;  1 drivers
270
v0x7fd8375da9a0_0 .net *"_s368", 1 0, L_0x7fd8375e6160;  1 drivers
271
v0x7fd8375daa50_0 .net *"_s369", 31 0, L_0x7fd8375e6200;  1 drivers
272
v0x7fd8375dab00_0 .net *"_s37", 7 0, L_0x7fd8375dd500;  1 drivers
273
L_0x109b05400 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
274
v0x7fd8375dabb0_0 .net *"_s372", 29 0, L_0x109b05400;  1 drivers
275
L_0x109b05448 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
276
v0x7fd8375dac60_0 .net/2u *"_s373", 31 0, L_0x109b05448;  1 drivers
277
v0x7fd8375dad10_0 .net *"_s375", 0 0, L_0x7fd8375e67f0;  1 drivers
278
v0x7fd8375dadb0_0 .net *"_s38", 31 0, L_0x7fd8375dd5a0;  1 drivers
279
v0x7fd8375dae60_0 .net *"_s383", 0 0, L_0x7fd8375e6470;  1 drivers
280
L_0x109b04200 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
281
v0x7fd8375daf10_0 .net *"_s41", 23 0, L_0x109b04200;  1 drivers
282
v0x7fd8375dafc0_0 .net *"_s43", 1 0, L_0x7fd8375dd730;  1 drivers
283
v0x7fd8375db070_0 .net *"_s44", 31 0, L_0x7fd8375dd850;  1 drivers
284
L_0x109b04248 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
285
v0x7fd8375db120_0 .net *"_s47", 29 0, L_0x109b04248;  1 drivers
286
L_0x109b04290 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
287
v0x7fd8375db1d0_0 .net/2u *"_s48", 31 0, L_0x109b04290;  1 drivers
288
v0x7fd8375db280_0 .net *"_s50", 0 0, L_0x7fd8375dda30;  1 drivers
289
v0x7fd8375db320_0 .net *"_s53", 7 0, L_0x7fd8375ddad0;  1 drivers
290
v0x7fd8375db3d0_0 .net *"_s54", 31 0, L_0x7fd8375ddc00;  1 drivers
291
L_0x109b042d8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
292
v0x7fd8375db480_0 .net *"_s57", 23 0, L_0x109b042d8;  1 drivers
293
v0x7fd8375db530_0 .net *"_s59", 7 0, L_0x7fd8375ddca0;  1 drivers
294
v0x7fd8375db5e0_0 .net *"_s60", 31 0, L_0x7fd8375dde60;  1 drivers
295
L_0x109b04320 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
296
v0x7fd8375db690_0 .net *"_s63", 23 0, L_0x109b04320;  1 drivers
297
v0x7fd8375db740_0 .net *"_s64", 31 0, L_0x7fd8375ddf00;  1 drivers
298
v0x7fd8375db7f0_0 .net *"_s66", 31 0, L_0x7fd8375de090;  1 drivers
299
v0x7fd8375db8a0_0 .net *"_s68", 31 0, L_0x7fd8375de1f0;  1 drivers
300
v0x7fd8375db950_0 .net *"_s71", 0 0, L_0x7fd8375de390;  1 drivers
301
v0x7fd8375dba00_0 .net *"_s73", 0 0, L_0x7fd8375de430;  1 drivers
302
v0x7fd8375dbab0_0 .net *"_s74", 31 0, L_0x7fd8375de5a0;  1 drivers
303
L_0x109b04368 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
304
v0x7fd8375dbb60_0 .net *"_s77", 30 0, L_0x109b04368;  1 drivers
305
L_0x109b043b0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
306
v0x7fd8375dbc10_0 .net/2u *"_s78", 31 0, L_0x109b043b0;  1 drivers
307
v0x7fd8375dbcc0_0 .net *"_s80", 0 0, L_0x7fd8375de640;  1 drivers
308
v0x7fd8375dbd60_0 .net *"_s83", 15 0, L_0x7fd8375de800;  1 drivers
309
v0x7fd8375dbe10_0 .net *"_s84", 31 0, L_0x7fd8375de4d0;  1 drivers
310
L_0x109b043f8 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
311
v0x7fd8375dbec0_0 .net *"_s87", 15 0, L_0x109b043f8;  1 drivers
312
v0x7fd8375dbf70_0 .net *"_s89", 15 0, L_0x7fd8375de720;  1 drivers
313
v0x7fd8375dc020_0 .net *"_s9", 0 0, L_0x7fd8375dca30;  1 drivers
314
v0x7fd8375dc0d0_0 .net *"_s90", 31 0, L_0x7fd8375dea10;  1 drivers
315
L_0x109b04440 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
316
v0x7fd8375dc180_0 .net *"_s93", 15 0, L_0x109b04440;  1 drivers
317
v0x7fd8375dc230_0 .net *"_s94", 31 0, L_0x7fd8375de8e0;  1 drivers
318
v0x7fd8375dc2e0_0 .net *"_s96", 31 0, L_0x7fd8375dec70;  1 drivers
319
v0x7fd8375dc390_0 .var/i "i", 31 0;
320
E_0x7fd83757e300 .event posedge, v0x7fd8375d52d0_0;
321
L_0x7fd8375dc870 .part v0x7fd8375d4470_0, 7, 1;
322
L_0x7fd8375dc910 .functor MUXZ 32, v0x7fd8375d49f0_0, v0x7fd8375d3f00_0, v0x7fd8375d3e50_0, C4<>;
323
L_0x7fd8375dca30 .part L_0x7fd8375f1670, 0, 1;
324
L_0x7fd8375dcb30 .part L_0x7fd8375f0ae0, 0, 2;
325
L_0x7fd8375dcc30 .concat [ 2 30 0 0], L_0x7fd8375dcb30, L_0x109b04098;
326
L_0x7fd8375dcdd0 .cmp/eq 32, L_0x7fd8375dcc30, L_0x109b040e0;
327
L_0x7fd8375dcf10 .part L_0x7fd8375e35b0, 24, 8;
328
L_0x7fd8375dcff0 .concat [ 8 24 0 0], L_0x7fd8375dcf10, L_0x109b04128;
329
L_0x7fd8375dd190 .part L_0x7fd8375f0ae0, 0, 2;
330
L_0x7fd8375dd280 .concat [ 2 30 0 0], L_0x7fd8375dd190, L_0x109b04170;
331
L_0x7fd8375dd360 .cmp/eq 32, L_0x7fd8375dd280, L_0x109b041b8;
332
L_0x7fd8375dd500 .part L_0x7fd8375e35b0, 16, 8;
333
L_0x7fd8375dd5a0 .concat [ 8 24 0 0], L_0x7fd8375dd500, L_0x109b04200;
334
L_0x7fd8375dd730 .part L_0x7fd8375f0ae0, 0, 2;
335
L_0x7fd8375dd850 .concat [ 2 30 0 0], L_0x7fd8375dd730, L_0x109b04248;
336
L_0x7fd8375dda30 .cmp/eq 32, L_0x7fd8375dd850, L_0x109b04290;
337
L_0x7fd8375ddad0 .part L_0x7fd8375e35b0, 8, 8;
338
L_0x7fd8375ddc00 .concat [ 8 24 0 0], L_0x7fd8375ddad0, L_0x109b042d8;
339
L_0x7fd8375ddca0 .part L_0x7fd8375e35b0, 0, 8;
340
L_0x7fd8375dde60 .concat [ 8 24 0 0], L_0x7fd8375ddca0, L_0x109b04320;
341
L_0x7fd8375ddf00 .functor MUXZ 32, L_0x7fd8375dde60, L_0x7fd8375ddc00, L_0x7fd8375dda30, C4<>;
342
L_0x7fd8375de090 .functor MUXZ 32, L_0x7fd8375ddf00, L_0x7fd8375dd5a0, L_0x7fd8375dd360, C4<>;
343
L_0x7fd8375de1f0 .functor MUXZ 32, L_0x7fd8375de090, L_0x7fd8375dcff0, L_0x7fd8375dcdd0, C4<>;
344
L_0x7fd8375de390 .part L_0x7fd8375f1670, 1, 1;
345
L_0x7fd8375de430 .part L_0x7fd8375f0ae0, 1, 1;
346
L_0x7fd8375de5a0 .concat [ 1 31 0 0], L_0x7fd8375de430, L_0x109b04368;
347
L_0x7fd8375de640 .cmp/eq 32, L_0x7fd8375de5a0, L_0x109b043b0;
348
L_0x7fd8375de800 .part L_0x7fd8375e35b0, 16, 16;
349
L_0x7fd8375de4d0 .concat [ 16 16 0 0], L_0x7fd8375de800, L_0x109b043f8;
350
L_0x7fd8375de720 .part L_0x7fd8375e35b0, 0, 16;
351
L_0x7fd8375dea10 .concat [ 16 16 0 0], L_0x7fd8375de720, L_0x109b04440;
352
L_0x7fd8375de8e0 .functor MUXZ 32, L_0x7fd8375dea10, L_0x7fd8375de4d0, L_0x7fd8375de640, C4<>;
353
L_0x7fd8375dec70 .functor MUXZ 32, L_0x7fd8375e35b0, L_0x7fd8375de8e0, L_0x7fd8375de390, C4<>;
354
L_0x7fd8375dee20 .functor MUXZ 32, L_0x7fd8375dec70, L_0x7fd8375de1f0, L_0x7fd8375dca30, C4<>;
355
L_0x7fd8375def40 .part L_0x7fd8375f1670, 0, 1;
356
L_0x7fd8375ded10 .part L_0x7fd8375f0ae0, 0, 2;
357
L_0x7fd8375df180 .concat [ 2 30 0 0], L_0x7fd8375ded10, L_0x109b04488;
358
L_0x7fd8375df350 .cmp/eq 32, L_0x7fd8375df180, L_0x109b044d0;
359
L_0x7fd8375df3f0 .part L_0x7fd8375f0990, 0, 8;
360
L_0x7fd8375df220 .concat [ 24 8 0 0], L_0x109b04518, L_0x7fd8375df3f0;
361
L_0x7fd8375df610 .part L_0x7fd8375f0ae0, 0, 2;
362
L_0x7fd8375df4d0 .concat [ 2 30 0 0], L_0x7fd8375df610, L_0x109b04560;
363
L_0x7fd8375df800 .cmp/eq 32, L_0x7fd8375df4d0, L_0x109b045a8;
364
L_0x7fd8375df6b0 .part L_0x7fd8375f0990, 0, 8;
365
L_0x7fd8375df750 .concat [ 16 8 8 0], L_0x109b04638, L_0x7fd8375df6b0, L_0x109b045f0;
366
L_0x7fd8375df920 .part L_0x7fd8375f0ae0, 0, 2;
367
L_0x7fd8375df9c0 .concat [ 2 30 0 0], L_0x7fd8375df920, L_0x109b04680;
368
L_0x7fd8375dfb40 .cmp/eq 32, L_0x7fd8375df9c0, L_0x109b046c8;
369
L_0x7fd8375dff30 .part L_0x7fd8375f0990, 0, 8;
370
L_0x7fd8375dfdb0 .concat [ 8 8 16 0], L_0x109b04758, L_0x7fd8375dff30, L_0x109b04710;
371
L_0x7fd8375e01e0 .part L_0x7fd8375f0990, 0, 8;
372
L_0x7fd8375e0050 .concat [ 8 24 0 0], L_0x7fd8375e01e0, L_0x109b047a0;
373
L_0x7fd8375e0130 .functor MUXZ 32, L_0x7fd8375e0050, L_0x7fd8375dfdb0, L_0x7fd8375dfb40, C4<>;
374
L_0x7fd8375e04f0 .functor MUXZ 32, L_0x7fd8375e0130, L_0x7fd8375df750, L_0x7fd8375df800, C4<>;
375
L_0x7fd8375e0650 .functor MUXZ 32, L_0x7fd8375e04f0, L_0x7fd8375df220, L_0x7fd8375df350, C4<>;
376
L_0x7fd8375e0340 .part L_0x7fd8375f1670, 1, 1;
377
L_0x7fd8375e08b0 .part L_0x7fd8375f0ae0, 1, 1;
378
L_0x7fd8375e06f0 .concat [ 1 31 0 0], L_0x7fd8375e08b0, L_0x109b047e8;
379
L_0x7fd8375e07d0 .cmp/eq 32, L_0x7fd8375e06f0, L_0x109b04830;
380
L_0x7fd8375e0950 .part L_0x7fd8375f0990, 0, 16;
381
L_0x7fd8375e09f0 .concat [ 16 16 0 0], L_0x109b04878, L_0x7fd8375e0950;
382
L_0x7fd8375e0d50 .part L_0x7fd8375f0990, 0, 16;
383
L_0x7fd8375e0df0 .concat [ 16 16 0 0], L_0x7fd8375e0d50, L_0x109b048c0;
384
L_0x7fd8375e0b60 .functor MUXZ 32, L_0x7fd8375e0df0, L_0x7fd8375e09f0, L_0x7fd8375e07d0, C4<>;
385
L_0x7fd8375e1110 .functor MUXZ 32, L_0x7fd8375f0990, L_0x7fd8375e0b60, L_0x7fd8375e0340, C4<>;
386
L_0x7fd8375e1010 .functor MUXZ 32, L_0x7fd8375e1110, L_0x7fd8375e0650, L_0x7fd8375def40, C4<>;
387
L_0x7fd8375df060 .cmp/ne 3, L_0x7fd8375f1670, L_0x109b04908;
388
L_0x7fd8375e11b0 .concat [ 1 31 0 0], L_0x7fd8375f0be0, L_0x109b04950;
389
L_0x7fd8375e12d0 .cmp/eq 32, L_0x7fd8375e11b0, L_0x109b04998;
390
L_0x7fd8375e16f0 .cmp/ne 3, L_0x7fd8375f1670, L_0x109b049e0;
391
L_0x7fd8375e1a90 .concat [ 1 31 0 0], L_0x7fd8375f0be0, L_0x109b04a28;
392
L_0x7fd8375e1860 .cmp/eq 32, L_0x7fd8375e1a90, L_0x109b04a70;
393
L_0x7fd8375e1d70 .part L_0x7fd8375f1670, 0, 1;
394
L_0x7fd8375e1b30 .part L_0x7fd8375f0ae0, 0, 2;
395
L_0x7fd8375e1bd0 .concat [ 2 30 0 0], L_0x7fd8375e1b30, L_0x109b04ab8;
396
L_0x7fd8375e1c70 .cmp/eq 32, L_0x7fd8375e1bd0, L_0x109b04b00;
397
L_0x7fd8375e21b0 .part L_0x7fd8375f0ae0, 0, 2;
398
L_0x7fd8375e1f10 .concat [ 2 30 0 0], L_0x7fd8375e21b0, L_0x109b04b90;
399
L_0x7fd8375e2030 .cmp/eq 32, L_0x7fd8375e1f10, L_0x109b04bd8;
400
L_0x7fd8375e24d0 .part L_0x7fd8375f0ae0, 0, 2;
401
L_0x7fd8375e2570 .concat [ 2 30 0 0], L_0x7fd8375e24d0, L_0x109b04c68;
402
L_0x7fd8375e2290 .cmp/eq 32, L_0x7fd8375e2570, L_0x109b04cb0;
403
L_0x7fd8375e23d0 .functor MUXZ 4, L_0x109b04d40, L_0x109b04cf8, L_0x7fd8375e2290, C4<>;
404
L_0x7fd8375e2990 .functor MUXZ 4, L_0x7fd8375e23d0, L_0x109b04c20, L_0x7fd8375e2030, C4<>;
405
L_0x7fd8375e2af0 .functor MUXZ 4, L_0x7fd8375e2990, L_0x109b04b48, L_0x7fd8375e1c70, C4<>;
406
L_0x7fd8375e2730 .part L_0x7fd8375f1670, 1, 1;
407
L_0x7fd8375e27d0 .part L_0x7fd8375f0ae0, 1, 1;
408
L_0x7fd8375e2870 .concat [ 1 31 0 0], L_0x7fd8375e27d0, L_0x109b04d88;
409
L_0x7fd8375e2ed0 .cmp/eq 32, L_0x7fd8375e2870, L_0x109b04dd0;
410
L_0x7fd8375e2b90 .functor MUXZ 4, L_0x109b04e60, L_0x109b04e18, L_0x7fd8375e2ed0, C4<>;
411
L_0x7fd8375e2cf0 .functor MUXZ 4, L_0x109b04ea8, L_0x7fd8375e2b90, L_0x7fd8375e2730, C4<>;
412
L_0x7fd8375e32d0 .functor MUXZ 4, L_0x7fd8375e2cf0, L_0x7fd8375e2af0, L_0x7fd8375e1d70, C4<>;
413
L_0x7fd8375e3470 .concat [ 2 30 0 0], v0x7fd8375d3780_0, L_0x109b04f38;
414
L_0x7fd8375e3030 .cmp/ne 32, L_0x7fd8375e3470, L_0x109b04f80;
415
L_0x7fd8375e3800 .reduce/nor L_0x7fd8375e3150;
416
L_0x7fd8375e3510 .part L_0x7fd8375f0ae0, 31, 1;
417
L_0x7fd8375e35b0 .functor MUXZ 32, v0x7fd8375d47d0_0, v0x7fd8375d4310_0, L_0x7fd8375e3510, C4<>;
418
L_0x7fd8375e3750 .concat [ 8 8 8 8], L_0x109b04fc8, L_0x109b05010, L_0x109b05058, L_0x7fd8375e3980;
419
L_0x7fd8375e3c30 .concat [ 16 16 0 0], v0x7fd8375d4680_0, v0x7fd8375d3d30_0;
420
L_0x7fd8375e3a50 .reduce/nor L_0x109b04050;
421
L_0x7fd8375e4030 .reduce/nor L_0x7fd8375e3800;
422
L_0x7fd8375e3e40 .reduce/nor L_0x109b04ef0;
423
L_0x7fd8375e5a20 .reduce/nor L_0x7fd8375e3f20;
424
L_0x7fd8375e4120 .part L_0x7fd8375f0ae0, 31, 1;
425
L_0x7fd8375e4230 .part L_0x7fd8375f0ae0, 2, 2;
426
L_0x7fd8375dfca0 .concat [ 2 30 0 0], L_0x7fd8375e4230, L_0x109b05370;
427
L_0x7fd8375e4310 .cmp/eq 32, L_0x7fd8375dfca0, L_0x109b053b8;
428
L_0x7fd8375e5c90 .reduce/nor L_0x7fd8375e3f20;
429
L_0x7fd8375e63d0 .part L_0x7fd8375f0ae0, 31, 1;
430
L_0x7fd8375e6160 .part L_0x7fd8375f0ae0, 2, 2;
431
L_0x7fd8375e6200 .concat [ 2 30 0 0], L_0x7fd8375e6160, L_0x109b05400;
432
L_0x7fd8375e67f0 .cmp/eq 32, L_0x7fd8375e6200, L_0x109b05448;
433
L_0x7fd8375f1e80 .part v0x7fd8375d4680_0, 0, 4;
434
L_0x7fd8375e6470 .part v0x7fd8375d3d30_0, 0, 1;
435
L_0x7fd8375e6550 .concat [ 1 1 1 1], L_0x7fd8375e1600, L_0x7fd8375e1980, v0x7fd8375d5400_0, L_0x7fd8375e6470;
436
S_0x7fd837572930 .scope module, "core0" "darkriscv" 3 713, 4 55 0, S_0x7fd837573a70;
437 4 marcelos
 .timescale -9 -12;
438
    .port_info 0 /INPUT 1 "CLK"
439
    .port_info 1 /INPUT 1 "RES"
440
    .port_info 2 /INPUT 1 "HLT"
441
    .port_info 3 /INPUT 32 "IDATA"
442
    .port_info 4 /OUTPUT 32 "IADDR"
443
    .port_info 5 /INPUT 32 "DATAI"
444
    .port_info 6 /OUTPUT 32 "DATAO"
445
    .port_info 7 /OUTPUT 32 "DADDR"
446
    .port_info 8 /OUTPUT 3 "DLEN"
447
    .port_info 9 /OUTPUT 1 "RW"
448
    .port_info 10 /INPUT 1 "FINISH_REQ"
449
    .port_info 11 /OUTPUT 4 "DEBUG"
450 6 marcelos
L_0x7fd8375e8b80 .functor BUFZ 32, L_0x7fd8375e8c70, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
451
L_0x7fd8375e8e30 .functor BUFZ 32, L_0x7fd8375e8f30, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
452
L_0x7fd8375e9130 .functor BUFZ 32, L_0x7fd8375e9200, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
453
L_0x7fd8375e93e0 .functor BUFZ 32, L_0x7fd8375e94c0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
454
L_0x7fd8375e9c50 .functor AND 1, L_0x7fd8375e9d00, L_0x7fd8375e9bb0, C4<1>, C4<1>;
455
L_0x7fd8375eaa60 .functor AND 1, L_0x7fd8375ea860, L_0x7fd8375ea9c0, C4<1>, C4<1>;
456
L_0x7fd8375eb530 .functor BUFZ 32, L_0x7fd8375e93e0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
457
L_0x7fd8375eb7f0 .functor AND 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>;
458
L_0x7fd8375eba40 .functor OR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
459
L_0x7fd8375ebd40 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
460
L_0x7fd8375ec9b0 .functor AND 1, v0x7fd8375c74a0_0, L_0x7fd8375ec8d0, C4<1>, C4<1>;
461
L_0x7fd8375efcd0 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
462
L_0x7fd8375ef9e0 .functor XOR 32, L_0x7fd8375e9130, L_0x7fd8375eb630, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
463
L_0x7fd8375f0510 .functor AND 1, L_0x7fd8375ee190, L_0x7fd8375f0430, C4<1>, C4<1>;
464
L_0x7fd8375f0600 .functor OR 1, L_0x7fd8375e79f0, L_0x7fd8375e7c50, C4<0>, C4<0>;
465
L_0x7fd8375f0780 .functor OR 1, L_0x7fd8375f0600, L_0x7fd8375f0510, C4<0>, C4<0>;
466
L_0x7fd8375f0990 .functor BUFZ 32, L_0x7fd8375eb530, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
467
L_0x7fd8375f0d00 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
468
L_0x7fd8375f1010 .functor AND 1, L_0x7fd8375f0d00, L_0x7fd8375f0ef0, C4<1>, C4<1>;
469
L_0x7fd8375f11b0 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
470
L_0x7fd8375f14c0 .functor AND 1, L_0x7fd8375f11b0, L_0x7fd8375f13a0, C4<1>, C4<1>;
471
L_0x7fd8375f1140 .functor OR 1, L_0x7fd8375e8430, L_0x7fd8375e80e0, C4<0>, C4<0>;
472
L_0x7fd8375f1a70 .functor AND 1, L_0x7fd8375f1140, L_0x7fd8375f1950, C4<1>, C4<1>;
473
L_0x7fd8375f1c70 .functor BUFZ 32, v0x7fd8375c5f30_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
474
L_0x109b05490 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
475
v0x7fd83752d000_0 .net "ALL0", 31 0, L_0x109b05490;  1 drivers
476
L_0x109b054d8 .functor BUFT 1, C4<11111111111111111111111111111111>, C4<0>, C4<0>, C4<0>;
477
v0x7fd8375c4ac0_0 .net "ALL1", 31 0, L_0x109b054d8;  1 drivers
478
v0x7fd8375c4b60_0 .net "AUIPC", 0 0, L_0x7fd8375e7760;  1 drivers
479
v0x7fd8375c4c10_0 .net "BCC", 0 0, L_0x7fd8375e7ea0;  1 drivers
480
v0x7fd8375c4ca0_0 .net "BMUX", 0 0, L_0x7fd8375f0510;  1 drivers
481
L_0x109b05eb0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
482
v0x7fd8375c4d80_0 .net "CDATA", 31 0, L_0x109b05eb0;  1 drivers
483
v0x7fd8375c4e30_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  alias, 1 drivers
484
v0x7fd8375c4ed0_0 .net "DADDR", 31 0, L_0x7fd8375f0ae0;  alias, 1 drivers
485
v0x7fd8375c4f80_0 .net "DATAI", 31 0, L_0x7fd8375dee20;  alias, 1 drivers
486
v0x7fd8375c5090_0 .net "DATAO", 31 0, L_0x7fd8375f0990;  alias, 1 drivers
487
v0x7fd8375c5140_0 .net "DEBUG", 3 0, L_0x7fd8375f1d20;  alias, 1 drivers
488
v0x7fd8375c51f0_0 .net "DLEN", 2 0, L_0x7fd8375f1670;  alias, 1 drivers
489
v0x7fd8375c52a0_0 .net "DPTR", 3 0, L_0x7fd8375e6a60;  1 drivers
490
v0x7fd8375c5350_0 .net "FCT3", 2 0, L_0x7fd8375e7150;  1 drivers
491
v0x7fd8375c5400_0 .net "FCT7", 6 0, L_0x7fd8375e71f0;  1 drivers
492
v0x7fd8375c54b0_0 .net "FINISH_REQ", 0 0, v0x7fd8375d1080_0;  alias, 1 drivers
493
v0x7fd8375c5550_0 .var "FLUSH", 1 0;
494
v0x7fd8375c56e0_0 .net "HLT", 0 0, L_0x7fd8375e3f20;  alias, 1 drivers
495
v0x7fd8375c5770_0 .net "IADDR", 31 0, L_0x7fd8375f1c70;  alias, 1 drivers
496
v0x7fd8375c5810_0 .net "IDATA", 31 0, L_0x7fd8375dc910;  alias, 1 drivers
497
v0x7fd8375c58c0_0 .net "JAL", 0 0, L_0x7fd8375e79f0;  1 drivers
498
v0x7fd8375c5960_0 .net "JALR", 0 0, L_0x7fd8375e7c50;  1 drivers
499
v0x7fd8375c5a00_0 .net "JREQ", 0 0, L_0x7fd8375f0780;  1 drivers
500
v0x7fd8375c5aa0_0 .net "JVAL", 31 0, L_0x7fd8375f08f0;  1 drivers
501
v0x7fd8375c5b50_0 .net "LCC", 0 0, L_0x7fd8375e80e0;  1 drivers
502
v0x7fd8375c5bf0_0 .net "LDATA", 31 0, L_0x7fd8375eaf70;  1 drivers
503
v0x7fd8375c5ca0_0 .net "LUI", 0 0, L_0x7fd8375e7520;  1 drivers
504
v0x7fd8375c5d40_0 .net "MAC", 0 0, L_0x7fd8375e8ae0;  1 drivers
505
v0x7fd8375c5de0_0 .net "MCC", 0 0, L_0x7fd8375e8630;  1 drivers
506
v0x7fd8375c5e80_0 .var "NXPC", 31 0;
507
v0x7fd8375c5f30_0 .var "NXPC2", 31 0;
508
v0x7fd8375c5fe0_0 .net "OPCODE", 6 0, L_0x7fd8375e6fd0;  1 drivers
509
v0x7fd8375c6090_0 .var "PC", 31 0;
510
v0x7fd8375c5600_0 .net "RCC", 0 0, L_0x7fd8375e8880;  1 drivers
511
v0x7fd8375c6320 .array "REG1", 15 0, 31 0;
512
v0x7fd8375c63b0 .array "REG2", 15 0, 31 0;
513
v0x7fd8375c6440_0 .net "RES", 0 0, L_0x7fd8375dc870;  alias, 1 drivers
514
v0x7fd8375c64d0_0 .var "RESMODE", 3 0;
515
v0x7fd8375c6570_0 .net "RMDATA", 31 0, L_0x7fd8375ee4c0;  1 drivers
516
v0x7fd8375c6620_0 .net "RW", 0 0, L_0x7fd8375f0be0;  alias, 1 drivers
517
v0x7fd8375c66c0_0 .net "S1PTR", 3 0, L_0x7fd8375e6b80;  1 drivers
518
v0x7fd8375c6770_0 .net/s "S1REG", 31 0, L_0x7fd8375e8b80;  1 drivers
519
v0x7fd8375c6820_0 .net "S2PTR", 3 0, L_0x7fd8375e6c80;  1 drivers
520
v0x7fd8375c68d0_0 .net/s "S2REG", 31 0, L_0x7fd8375e8e30;  1 drivers
521
v0x7fd8375c6980_0 .net/s "S2REGX", 31 0, L_0x7fd8375eb630;  1 drivers
522
v0x7fd8375c6a30_0 .net "SCC", 0 0, L_0x7fd8375e8430;  1 drivers
523
v0x7fd8375c6ad0_0 .net "SDATA", 31 0, L_0x7fd8375eb530;  1 drivers
524
v0x7fd8375c6b80_0 .net "SIMM", 31 0, v0x7fd8375c7680_0;  1 drivers
525
v0x7fd8375c6c30_0 .net "U1REG", 31 0, L_0x7fd8375e9130;  1 drivers
526
v0x7fd8375c6ce0_0 .net "U2REG", 31 0, L_0x7fd8375e93e0;  1 drivers
527
v0x7fd8375c6d90_0 .net "U2REGX", 31 0, L_0x7fd8375eb750;  1 drivers
528
v0x7fd8375c6e40_0 .net "UIMM", 31 0, v0x7fd8375c6140_0;  1 drivers
529
v0x7fd8375c6ef0_0 .var "XAUIPC", 0 0;
530
v0x7fd8375c6f90_0 .var "XBCC", 0 0;
531
v0x7fd8375c7030_0 .var "XIDATA", 31 0;
532
v0x7fd8375c70e0_0 .var "XJAL", 0 0;
533
v0x7fd8375c7180_0 .var "XJALR", 0 0;
534
v0x7fd8375c7220_0 .var "XLCC", 0 0;
535
v0x7fd8375c72c0_0 .var "XLUI", 0 0;
536
v0x7fd8375c7360_0 .var "XMAC", 0 0;
537
v0x7fd8375c7400_0 .var "XMCC", 0 0;
538
v0x7fd8375c74a0_0 .var "XRCC", 0 0;
539
v0x7fd8375c7540_0 .var "XRES", 0 0;
540
v0x7fd8375c75e0_0 .var "XSCC", 0 0;
541
v0x7fd8375c7680_0 .var "XSIMM", 31 0;
542
v0x7fd8375c6140_0 .var "XUIMM", 31 0;
543
L_0x109b05ac0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
544
v0x7fd8375c61f0_0 .net/2u *"_s102", 1 0, L_0x109b05ac0;  1 drivers
545
v0x7fd8375c7710_0 .net *"_s104", 0 0, L_0x7fd8375e8a00;  1 drivers
546
L_0x109b05b08 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
547
v0x7fd8375c77a0_0 .net/2u *"_s106", 0 0, L_0x109b05b08;  1 drivers
548
v0x7fd8375c7830_0 .net *"_s110", 31 0, L_0x7fd8375e8c70;  1 drivers
549
v0x7fd8375c78c0_0 .net *"_s112", 5 0, L_0x7fd8375e8d10;  1 drivers
550
L_0x109b05b50 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
551
v0x7fd8375c7950_0 .net *"_s115", 1 0, L_0x109b05b50;  1 drivers
552
v0x7fd8375c79f0_0 .net *"_s118", 31 0, L_0x7fd8375e8f30;  1 drivers
553
L_0x109b05520 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
554
v0x7fd8375c7aa0_0 .net/2u *"_s12", 1 0, L_0x109b05520;  1 drivers
555
v0x7fd8375c7b50_0 .net *"_s120", 5 0, L_0x7fd8375e8fd0;  1 drivers
556
L_0x109b05b98 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
557
v0x7fd8375c7c00_0 .net *"_s123", 1 0, L_0x109b05b98;  1 drivers
558
v0x7fd8375c7cb0_0 .net *"_s126", 31 0, L_0x7fd8375e9200;  1 drivers
559
v0x7fd8375c7d60_0 .net *"_s128", 5 0, L_0x7fd8375e92a0;  1 drivers
560
L_0x109b05be0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
561
v0x7fd8375c7e10_0 .net *"_s131", 1 0, L_0x109b05be0;  1 drivers
562
v0x7fd8375c7ec0_0 .net *"_s134", 31 0, L_0x7fd8375e94c0;  1 drivers
563
v0x7fd8375c7f70_0 .net *"_s136", 5 0, L_0x7fd8375e9560;  1 drivers
564
L_0x109b05c28 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
565
v0x7fd8375c8020_0 .net *"_s139", 1 0, L_0x109b05c28;  1 drivers
566
v0x7fd8375c80d0_0 .net *"_s14", 0 0, L_0x7fd8375e6d60;  1 drivers
567
v0x7fd8375c8170_0 .net *"_s143", 1 0, L_0x7fd8375e9790;  1 drivers
568
v0x7fd8375c8220_0 .net *"_s144", 31 0, L_0x7fd8375e9870;  1 drivers
569
L_0x109b05c70 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
570
v0x7fd8375c82d0_0 .net *"_s147", 29 0, L_0x109b05c70;  1 drivers
571
L_0x109b05cb8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
572
v0x7fd8375c8380_0 .net/2u *"_s148", 31 0, L_0x109b05cb8;  1 drivers
573
v0x7fd8375c8430_0 .net *"_s150", 0 0, L_0x7fd8375e96a0;  1 drivers
574
v0x7fd8375c84d0_0 .net *"_s153", 0 0, L_0x7fd8375e9b10;  1 drivers
575
v0x7fd8375c8580_0 .net *"_s154", 31 0, L_0x7fd8375e9950;  1 drivers
576
L_0x109b05d00 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
577
v0x7fd8375c8630_0 .net *"_s157", 30 0, L_0x109b05d00;  1 drivers
578
L_0x109b05d48 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
579
v0x7fd8375c86e0_0 .net/2u *"_s158", 31 0, L_0x109b05d48;  1 drivers
580
L_0x109b05568 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>;
581
v0x7fd8375c8790_0 .net/2u *"_s16", 6 0, L_0x109b05568;  1 drivers
582
v0x7fd8375c8840_0 .net *"_s160", 0 0, L_0x7fd8375e9d00;  1 drivers
583
v0x7fd8375c88e0_0 .net *"_s163", 0 0, L_0x7fd8375e9bb0;  1 drivers
584
v0x7fd8375c8990_0 .net *"_s164", 0 0, L_0x7fd8375e9c50;  1 drivers
585
v0x7fd8375c8a30_0 .net *"_s167", 23 0, L_0x7fd8375ea040;  1 drivers
586
v0x7fd8375c8ae0_0 .net *"_s169", 23 0, L_0x7fd8375e9e20;  1 drivers
587
v0x7fd8375c8b90_0 .net *"_s170", 23 0, L_0x7fd8375ea290;  1 drivers
588
v0x7fd8375c8c40_0 .net *"_s173", 7 0, L_0x7fd8375ea120;  1 drivers
589
v0x7fd8375c8cf0_0 .net *"_s174", 31 0, L_0x7fd8375ea4b0;  1 drivers
590
v0x7fd8375c8da0_0 .net *"_s177", 1 0, L_0x7fd8375ea330;  1 drivers
591
v0x7fd8375c8e50_0 .net *"_s178", 31 0, L_0x7fd8375ea6e0;  1 drivers
592
L_0x109b05d90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
593
v0x7fd8375c8f00_0 .net *"_s181", 29 0, L_0x109b05d90;  1 drivers
594
L_0x109b05dd8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
595
v0x7fd8375c8fb0_0 .net/2u *"_s182", 31 0, L_0x109b05dd8;  1 drivers
596
v0x7fd8375c9060_0 .net *"_s184", 0 0, L_0x7fd8375ea550;  1 drivers
597
v0x7fd8375c9100_0 .net *"_s187", 0 0, L_0x7fd8375ea920;  1 drivers
598
v0x7fd8375c91b0_0 .net *"_s188", 31 0, L_0x7fd8375ea780;  1 drivers
599
v0x7fd8375c9260_0 .net *"_s19", 6 0, L_0x7fd8375e6eb0;  1 drivers
600
L_0x109b05e20 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
601
v0x7fd8375c9310_0 .net *"_s191", 30 0, L_0x109b05e20;  1 drivers
602
L_0x109b05e68 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
603
v0x7fd8375c93c0_0 .net/2u *"_s192", 31 0, L_0x109b05e68;  1 drivers
604
v0x7fd8375c9470_0 .net *"_s194", 0 0, L_0x7fd8375ea860;  1 drivers
605
v0x7fd8375c9510_0 .net *"_s197", 0 0, L_0x7fd8375ea9c0;  1 drivers
606
v0x7fd8375c95c0_0 .net *"_s198", 0 0, L_0x7fd8375eaa60;  1 drivers
607
v0x7fd8375c9660_0 .net *"_s201", 15 0, L_0x7fd8375eadb0;  1 drivers
608
v0x7fd8375c9710_0 .net *"_s203", 15 0, L_0x7fd8375eabf0;  1 drivers
609
v0x7fd8375c97c0_0 .net *"_s204", 15 0, L_0x7fd8375eac90;  1 drivers
610
v0x7fd8375c9870_0 .net *"_s207", 15 0, L_0x7fd8375eb0b0;  1 drivers
611
v0x7fd8375c9920_0 .net *"_s208", 31 0, L_0x7fd8375eb150;  1 drivers
612
v0x7fd8375c99d0_0 .net *"_s210", 31 0, L_0x7fd8375eae50;  1 drivers
613
v0x7fd8375c9a80_0 .net *"_s222", 31 0, L_0x7fd8375eb2b0;  1 drivers
614
L_0x109b05ef8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
615
v0x7fd8375c9b30_0 .net *"_s225", 28 0, L_0x109b05ef8;  1 drivers
616
L_0x109b05f40 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
617
v0x7fd8375c9be0_0 .net/2u *"_s226", 31 0, L_0x109b05f40;  1 drivers
618
v0x7fd8375c9c90_0 .net *"_s228", 0 0, L_0x7fd8375eb390;  1 drivers
619
v0x7fd8375c9d30_0 .net *"_s230", 31 0, L_0x7fd8375eb7f0;  1 drivers
620
v0x7fd8375c9de0_0 .net *"_s232", 31 0, L_0x7fd8375eb8e0;  1 drivers
621
L_0x109b05f88 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
622
v0x7fd8375c9e90_0 .net *"_s235", 28 0, L_0x109b05f88;  1 drivers
623
L_0x109b05fd0 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
624
v0x7fd8375c9f40_0 .net/2u *"_s236", 31 0, L_0x109b05fd0;  1 drivers
625
v0x7fd8375c9ff0_0 .net *"_s238", 0 0, L_0x7fd8375ebc60;  1 drivers
626
v0x7fd8375ca090_0 .net *"_s240", 31 0, L_0x7fd8375eba40;  1 drivers
627
v0x7fd8375ca140_0 .net *"_s242", 31 0, L_0x7fd8375ebb10;  1 drivers
628
L_0x109b06018 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
629
v0x7fd8375ca1f0_0 .net *"_s245", 28 0, L_0x109b06018;  1 drivers
630
L_0x109b06060 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
631
v0x7fd8375ca2a0_0 .net/2u *"_s246", 31 0, L_0x109b06060;  1 drivers
632
v0x7fd8375ca350_0 .net *"_s248", 0 0, L_0x7fd8375ebbb0;  1 drivers
633
v0x7fd8375ca3f0_0 .net *"_s250", 31 0, L_0x7fd8375ebd40;  1 drivers
634
v0x7fd8375ca4a0_0 .net *"_s252", 31 0, L_0x7fd8375ebeb0;  1 drivers
635
L_0x109b060a8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
636
v0x7fd8375ca550_0 .net *"_s255", 28 0, L_0x109b060a8;  1 drivers
637
L_0x109b060f0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
638
v0x7fd8375ca600_0 .net/2u *"_s256", 31 0, L_0x109b060f0;  1 drivers
639
v0x7fd8375ca6b0_0 .net *"_s258", 0 0, L_0x7fd8375ec2f0;  1 drivers
640
v0x7fd8375ca750_0 .net *"_s260", 0 0, L_0x7fd8375ec0b0;  1 drivers
641
L_0x109b06138 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
642
v0x7fd8375ca7f0_0 .net/2u *"_s262", 31 0, L_0x109b06138;  1 drivers
643
L_0x109b06180 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
644
v0x7fd8375ca8a0_0 .net/2u *"_s264", 31 0, L_0x109b06180;  1 drivers
645
v0x7fd8375ca950_0 .net *"_s266", 31 0, L_0x7fd8375ec190;  1 drivers
646
v0x7fd8375caa00_0 .net *"_s268", 31 0, L_0x7fd8375ec6d0;  1 drivers
647
L_0x109b061c8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
648
v0x7fd8375caab0_0 .net *"_s271", 28 0, L_0x109b061c8;  1 drivers
649
L_0x109b06210 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
650
v0x7fd8375cab60_0 .net/2u *"_s272", 31 0, L_0x109b06210;  1 drivers
651
v0x7fd8375cac10_0 .net *"_s274", 0 0, L_0x7fd8375ec7b0;  1 drivers
652
v0x7fd8375cacb0_0 .net *"_s276", 0 0, L_0x7fd8375ec430;  1 drivers
653
L_0x109b06258 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
654
v0x7fd8375cad50_0 .net/2u *"_s278", 31 0, L_0x109b06258;  1 drivers
655
L_0x109b062a0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
656
v0x7fd8375cae00_0 .net/2u *"_s280", 31 0, L_0x109b062a0;  1 drivers
657
v0x7fd8375caeb0_0 .net *"_s282", 31 0, L_0x7fd8375ec510;  1 drivers
658
v0x7fd8375caf60_0 .net *"_s284", 31 0, L_0x7fd8375ecb50;  1 drivers
659
L_0x109b062e8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
660
v0x7fd8375cb010_0 .net *"_s287", 28 0, L_0x109b062e8;  1 drivers
661
L_0x109b06330 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
662
v0x7fd8375cb0c0_0 .net/2u *"_s288", 31 0, L_0x109b06330;  1 drivers
663
v0x7fd8375cb170_0 .net *"_s290", 0 0, L_0x7fd8375ecc30;  1 drivers
664
v0x7fd8375cb210_0 .net *"_s293", 0 0, L_0x7fd8375ec8d0;  1 drivers
665
v0x7fd8375cb2c0_0 .net *"_s294", 0 0, L_0x7fd8375ec9b0;  1 drivers
666
v0x7fd8375cb360_0 .net *"_s296", 31 0, L_0x7fd8375ed000;  1 drivers
667
v0x7fd8375cb410_0 .net *"_s298", 31 0, L_0x7fd8375ecd70;  1 drivers
668
L_0x109b055b0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
669
v0x7fd8375cb4c0_0 .net/2u *"_s30", 1 0, L_0x109b055b0;  1 drivers
670
v0x7fd8375cb570_0 .net *"_s300", 31 0, L_0x7fd8375ece10;  1 drivers
671
v0x7fd8375cb620_0 .net *"_s302", 31 0, L_0x7fd8375ecf30;  1 drivers
672
L_0x109b06378 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
673
v0x7fd8375cb6d0_0 .net *"_s305", 28 0, L_0x109b06378;  1 drivers
674
L_0x109b063c0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
675
v0x7fd8375cb780_0 .net/2u *"_s306", 31 0, L_0x109b063c0;  1 drivers
676
v0x7fd8375cb830_0 .net *"_s308", 0 0, L_0x7fd8375ed390;  1 drivers
677
v0x7fd8375cb8d0_0 .net *"_s311", 4 0, L_0x7fd8375ed0a0;  1 drivers
678
v0x7fd8375cb980_0 .net *"_s312", 31 0, L_0x7fd8375ed1c0;  1 drivers
679
v0x7fd8375cba30_0 .net *"_s315", 0 0, L_0x7fd8375ed260;  1 drivers
680
v0x7fd8375cbae0_0 .net *"_s317", 4 0, L_0x7fd8375ed4b0;  1 drivers
681
v0x7fd8375cbb90_0 .net *"_s318", 31 0, L_0x7fd8375ed550;  1 drivers
682
v0x7fd8375cbc40_0 .net *"_s32", 0 0, L_0x7fd8375e73e0;  1 drivers
683
v0x7fd8375cbce0_0 .net *"_s321", 4 0, L_0x7fd8375ed5f0;  1 drivers
684
v0x7fd8375cbd90_0 .net *"_s322", 31 0, L_0x7fd8375ed690;  1 drivers
685
v0x7fd8375cbe40_0 .net *"_s324", 31 0, L_0x7fd8375edb60;  1 drivers
686
v0x7fd8375cbef0_0 .net *"_s326", 31 0, L_0x7fd8375ed8f0;  1 drivers
687
v0x7fd8375cbfa0_0 .net *"_s328", 31 0, L_0x7fd8375eda10;  1 drivers
688
v0x7fd8375cc050_0 .net *"_s330", 31 0, L_0x7fd8375edf50;  1 drivers
689
v0x7fd8375cc100_0 .net *"_s332", 31 0, L_0x7fd8375ee0b0;  1 drivers
690
v0x7fd8375cc1b0_0 .net *"_s334", 31 0, L_0x7fd8375edcc0;  1 drivers
691
v0x7fd8375cc260_0 .net *"_s336", 31 0, L_0x7fd8375edde0;  1 drivers
692
L_0x109b055f8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
693
v0x7fd8375cc310_0 .net/2u *"_s34", 0 0, L_0x109b055f8;  1 drivers
694
v0x7fd8375cc3c0_0 .net *"_s340", 31 0, L_0x7fd8375ee620;  1 drivers
695
L_0x109b06408 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
696
v0x7fd8375cc470_0 .net *"_s343", 30 0, L_0x109b06408;  1 drivers
697
L_0x109b06450 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
698
v0x7fd8375cc520_0 .net/2u *"_s344", 31 0, L_0x109b06450;  1 drivers
699
v0x7fd8375cc5d0_0 .net *"_s346", 0 0, L_0x7fd8375ee190;  1 drivers
700
v0x7fd8375cc670_0 .net *"_s348", 31 0, L_0x7fd8375ee2b0;  1 drivers
701
L_0x109b06498 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
702
v0x7fd8375cc720_0 .net *"_s351", 28 0, L_0x109b06498;  1 drivers
703
L_0x109b064e0 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
704
v0x7fd8375cc7d0_0 .net/2u *"_s352", 31 0, L_0x109b064e0;  1 drivers
705
v0x7fd8375cc880_0 .net *"_s354", 0 0, L_0x7fd8375ee390;  1 drivers
706
v0x7fd8375cc920_0 .net *"_s356", 0 0, L_0x7fd8375eea90;  1 drivers
707
v0x7fd8375cc9c0_0 .net *"_s358", 31 0, L_0x7fd8375ee700;  1 drivers
708
L_0x109b06528 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
709
v0x7fd8375cca70_0 .net *"_s361", 30 0, L_0x109b06528;  1 drivers
710
v0x7fd8375ccb20_0 .net *"_s362", 31 0, L_0x7fd8375ee7e0;  1 drivers
711
L_0x109b06570 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
712
v0x7fd8375ccbd0_0 .net *"_s365", 28 0, L_0x109b06570;  1 drivers
713
L_0x109b065b8 .functor BUFT 1, C4<00000000000000000000000000000101>, C4<0>, C4<0>, C4<0>;
714
v0x7fd8375ccc80_0 .net/2u *"_s366", 31 0, L_0x109b065b8;  1 drivers
715
v0x7fd8375ccd30_0 .net *"_s368", 0 0, L_0x7fd8375ee8c0;  1 drivers
716
v0x7fd8375ccdd0_0 .net *"_s370", 0 0, L_0x7fd8375eef20;  1 drivers
717
v0x7fd8375cce70_0 .net *"_s372", 31 0, L_0x7fd8375eebb0;  1 drivers
718
L_0x109b06600 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
719
v0x7fd8375ccf20_0 .net *"_s375", 30 0, L_0x109b06600;  1 drivers
720
v0x7fd8375ccfd0_0 .net *"_s376", 31 0, L_0x7fd8375eec90;  1 drivers
721
L_0x109b06648 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
722
v0x7fd8375cd080_0 .net *"_s379", 28 0, L_0x109b06648;  1 drivers
723
L_0x109b05640 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
724
v0x7fd8375cd130_0 .net/2u *"_s38", 1 0, L_0x109b05640;  1 drivers
725
L_0x109b06690 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
726
v0x7fd8375cd1e0_0 .net/2u *"_s380", 31 0, L_0x109b06690;  1 drivers
727
v0x7fd8375cd290_0 .net *"_s382", 0 0, L_0x7fd8375eed70;  1 drivers
728
v0x7fd8375cd330_0 .net *"_s384", 0 0, L_0x7fd8375ef350;  1 drivers
729
v0x7fd8375cd3d0_0 .net *"_s386", 31 0, L_0x7fd8375eefc0;  1 drivers
730
L_0x109b066d8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
731
v0x7fd8375cd480_0 .net *"_s389", 30 0, L_0x109b066d8;  1 drivers
732
v0x7fd8375cd530_0 .net *"_s390", 31 0, L_0x7fd8375ef060;  1 drivers
733
L_0x109b06720 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
734
v0x7fd8375cd5e0_0 .net *"_s393", 28 0, L_0x109b06720;  1 drivers
735
L_0x109b06768 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
736
v0x7fd8375cd690_0 .net/2u *"_s394", 31 0, L_0x109b06768;  1 drivers
737
v0x7fd8375cd740_0 .net *"_s396", 0 0, L_0x7fd8375ebf70;  1 drivers
738
v0x7fd8375cd7e0_0 .net *"_s398", 0 0, L_0x7fd8375ef7e0;  1 drivers
739
v0x7fd8375cd880_0 .net *"_s40", 0 0, L_0x7fd8375e7660;  1 drivers
740
v0x7fd8375cd920_0 .net *"_s400", 31 0, L_0x7fd8375ef3f0;  1 drivers
741
L_0x109b067b0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
742
v0x7fd8375cd9d0_0 .net *"_s403", 30 0, L_0x109b067b0;  1 drivers
743
v0x7fd8375cda80_0 .net *"_s404", 31 0, L_0x7fd8375ef4f0;  1 drivers
744
L_0x109b067f8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
745
v0x7fd8375cdb30_0 .net *"_s407", 28 0, L_0x109b067f8;  1 drivers
746
L_0x109b06840 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
747
v0x7fd8375cdbe0_0 .net/2u *"_s408", 31 0, L_0x109b06840;  1 drivers
748
v0x7fd8375cdc90_0 .net *"_s410", 0 0, L_0x7fd8375ef610;  1 drivers
749
v0x7fd8375cdd30_0 .net *"_s412", 31 0, L_0x7fd8375efcd0;  1 drivers
750
v0x7fd8375cdde0_0 .net *"_s415", 0 0, L_0x7fd8375efe40;  1 drivers
751
v0x7fd8375cde80_0 .net *"_s416", 31 0, L_0x7fd8375ef900;  1 drivers
752
L_0x109b06888 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
753
v0x7fd8375cdf30_0 .net *"_s419", 30 0, L_0x109b06888;  1 drivers
754
L_0x109b05688 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
755
v0x7fd8375cdfe0_0 .net/2u *"_s42", 0 0, L_0x109b05688;  1 drivers
756
v0x7fd8375ce090_0 .net *"_s420", 31 0, L_0x7fd8375ef9e0;  1 drivers
757
v0x7fd8375ce140_0 .net *"_s422", 31 0, L_0x7fd8375efaf0;  1 drivers
758
v0x7fd8375ce1f0_0 .net *"_s424", 31 0, L_0x7fd8375efc30;  1 drivers
759
v0x7fd8375ce2a0_0 .net *"_s426", 31 0, L_0x7fd8375f0390;  1 drivers
760
v0x7fd8375ce350_0 .net *"_s428", 31 0, L_0x7fd8375effa0;  1 drivers
761
v0x7fd8375ce400_0 .net *"_s430", 31 0, L_0x7fd8375f0100;  1 drivers
762
L_0x109b068d0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
763
v0x7fd8375ce4b0_0 .net/2u *"_s432", 31 0, L_0x109b068d0;  1 drivers
764
v0x7fd8375ce560_0 .net *"_s434", 0 0, L_0x7fd8375f0430;  1 drivers
765
v0x7fd8375ce600_0 .net *"_s438", 0 0, L_0x7fd8375f0600;  1 drivers
766
v0x7fd8375ce6a0_0 .net *"_s442", 31 0, L_0x7fd8375f07f0;  1 drivers
767
v0x7fd8375ce750_0 .net *"_s454", 0 0, L_0x7fd8375f0d00;  1 drivers
768
v0x7fd8375ce7f0_0 .net *"_s457", 1 0, L_0x7fd8375f0d70;  1 drivers
769
v0x7fd8375ce8a0_0 .net *"_s458", 31 0, L_0x7fd8375f0e10;  1 drivers
770
L_0x109b056d0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
771
v0x7fd8375ce950_0 .net/2u *"_s46", 1 0, L_0x109b056d0;  1 drivers
772
L_0x109b06918 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
773
v0x7fd8375cea00_0 .net *"_s461", 29 0, L_0x109b06918;  1 drivers
774
L_0x109b06960 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
775
v0x7fd8375ceab0_0 .net/2u *"_s462", 31 0, L_0x109b06960;  1 drivers
776
v0x7fd8375ceb60_0 .net *"_s464", 0 0, L_0x7fd8375f0ef0;  1 drivers
777
v0x7fd8375cec00_0 .net *"_s466", 0 0, L_0x7fd8375f1010;  1 drivers
778
v0x7fd8375ceca0_0 .net *"_s470", 0 0, L_0x7fd8375f11b0;  1 drivers
779
v0x7fd8375ced40_0 .net *"_s473", 1 0, L_0x7fd8375f1220;  1 drivers
780
v0x7fd8375cedf0_0 .net *"_s474", 31 0, L_0x7fd8375f12c0;  1 drivers
781
L_0x109b069a8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
782
v0x7fd8375ceea0_0 .net *"_s477", 29 0, L_0x109b069a8;  1 drivers
783
L_0x109b069f0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
784
v0x7fd8375cef50_0 .net/2u *"_s478", 31 0, L_0x109b069f0;  1 drivers
785
v0x7fd8375cf000_0 .net *"_s48", 0 0, L_0x7fd8375e78d0;  1 drivers
786
v0x7fd8375cf0a0_0 .net *"_s480", 0 0, L_0x7fd8375f13a0;  1 drivers
787
v0x7fd8375cf140_0 .net *"_s482", 0 0, L_0x7fd8375f14c0;  1 drivers
788
v0x7fd8375cf1e0_0 .net *"_s487", 0 0, L_0x7fd8375f1140;  1 drivers
789
v0x7fd8375cf280_0 .net *"_s490", 1 0, L_0x7fd8375f17d0;  1 drivers
790
v0x7fd8375cf330_0 .net *"_s491", 31 0, L_0x7fd8375f1870;  1 drivers
791
L_0x109b06a38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
792
v0x7fd8375cf3e0_0 .net *"_s494", 29 0, L_0x109b06a38;  1 drivers
793
L_0x109b06a80 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
794
v0x7fd8375cf490_0 .net/2u *"_s495", 31 0, L_0x109b06a80;  1 drivers
795
v0x7fd8375cf540_0 .net *"_s497", 0 0, L_0x7fd8375f1950;  1 drivers
796
v0x7fd8375cf5e0_0 .net *"_s499", 0 0, L_0x7fd8375f1a70;  1 drivers
797
v0x7fd8375cf680_0 .net *"_s5", 3 0, L_0x7fd8375e6980;  1 drivers
798
L_0x109b05718 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
799
v0x7fd8375cf730_0 .net/2u *"_s50", 0 0, L_0x109b05718;  1 drivers
800
v0x7fd8375cf7e0_0 .net *"_s504", 0 0, L_0x7fd8375f15b0;  1 drivers
801
L_0x109b05760 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
802
v0x7fd8375cf880_0 .net/2u *"_s54", 1 0, L_0x109b05760;  1 drivers
803
v0x7fd8375cf930_0 .net *"_s56", 0 0, L_0x7fd8375e7b70;  1 drivers
804
L_0x109b057a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
805
v0x7fd8375cf9d0_0 .net/2u *"_s58", 0 0, L_0x109b057a8;  1 drivers
806
L_0x109b057f0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
807
v0x7fd8375cfa80_0 .net/2u *"_s62", 1 0, L_0x109b057f0;  1 drivers
808
v0x7fd8375cfb30_0 .net *"_s64", 0 0, L_0x7fd8375e7da0;  1 drivers
809
L_0x109b05838 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
810
v0x7fd8375cfbd0_0 .net/2u *"_s66", 0 0, L_0x109b05838;  1 drivers
811
L_0x109b05880 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
812
v0x7fd8375cfc80_0 .net/2u *"_s70", 1 0, L_0x109b05880;  1 drivers
813
v0x7fd8375cfd30_0 .net *"_s72", 0 0, L_0x7fd8375e8000;  1 drivers
814
L_0x109b058c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
815
v0x7fd8375cfdd0_0 .net/2u *"_s74", 0 0, L_0x109b058c8;  1 drivers
816
L_0x109b05910 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
817
v0x7fd8375cfe80_0 .net/2u *"_s78", 1 0, L_0x109b05910;  1 drivers
818
v0x7fd8375cff30_0 .net *"_s80", 0 0, L_0x7fd8375e8250;  1 drivers
819
L_0x109b05958 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
820
v0x7fd8375cffd0_0 .net/2u *"_s82", 0 0, L_0x109b05958;  1 drivers
821
L_0x109b059a0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
822
v0x7fd8375d0080_0 .net/2u *"_s86", 1 0, L_0x109b059a0;  1 drivers
823
v0x7fd8375d0130_0 .net *"_s88", 0 0, L_0x7fd8375e8590;  1 drivers
824
L_0x109b059e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
825
v0x7fd8375d01d0_0 .net/2u *"_s90", 0 0, L_0x109b059e8;  1 drivers
826
L_0x109b05a30 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
827
v0x7fd8375d0280_0 .net/2u *"_s94", 1 0, L_0x109b05a30;  1 drivers
828
v0x7fd8375d0330_0 .net *"_s96", 0 0, L_0x7fd8375e87a0;  1 drivers
829
L_0x109b05a78 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
830
v0x7fd8375d03d0_0 .net/2u *"_s98", 0 0, L_0x109b05a78;  1 drivers
831
v0x7fd8375d0480_0 .var/i "clocks", 31 0;
832
v0x7fd8375d0530_0 .var/i "flush", 31 0;
833
v0x7fd8375d05e0_0 .var/i "halt", 31 0;
834
v0x7fd8375d0690_0 .var/i "load", 31 0;
835
v0x7fd8375d0740_0 .var/i "running", 31 0;
836
v0x7fd8375d07f0_0 .var/i "store", 31 0;
837
E_0x7fd837581470 .event posedge, v0x7fd8375c4e30_0;
838
L_0x7fd8375e6980 .part v0x7fd8375c7030_0, 7, 4;
839
L_0x7fd8375e6a60 .functor MUXZ 4, L_0x7fd8375e6980, v0x7fd8375c64d0_0, v0x7fd8375c7540_0, C4<>;
840
L_0x7fd8375e6b80 .part v0x7fd8375c7030_0, 15, 4;
841
L_0x7fd8375e6c80 .part v0x7fd8375c7030_0, 20, 4;
842
L_0x7fd8375e6d60 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05520;
843
L_0x7fd8375e6eb0 .part v0x7fd8375c7030_0, 0, 7;
844
L_0x7fd8375e6fd0 .functor MUXZ 7, L_0x7fd8375e6eb0, L_0x109b05568, L_0x7fd8375e6d60, C4<>;
845
L_0x7fd8375e7150 .part v0x7fd8375c7030_0, 12, 3;
846
L_0x7fd8375e71f0 .part v0x7fd8375c7030_0, 25, 7;
847
L_0x7fd8375e73e0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b055b0;
848
L_0x7fd8375e7520 .functor MUXZ 1, v0x7fd8375c72c0_0, L_0x109b055f8, L_0x7fd8375e73e0, C4<>;
849
L_0x7fd8375e7660 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05640;
850
L_0x7fd8375e7760 .functor MUXZ 1, v0x7fd8375c6ef0_0, L_0x109b05688, L_0x7fd8375e7660, C4<>;
851
L_0x7fd8375e78d0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b056d0;
852
L_0x7fd8375e79f0 .functor MUXZ 1, v0x7fd8375c70e0_0, L_0x109b05718, L_0x7fd8375e78d0, C4<>;
853
L_0x7fd8375e7b70 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05760;
854
L_0x7fd8375e7c50 .functor MUXZ 1, v0x7fd8375c7180_0, L_0x109b057a8, L_0x7fd8375e7b70, C4<>;
855
L_0x7fd8375e7da0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b057f0;
856
L_0x7fd8375e7ea0 .functor MUXZ 1, v0x7fd8375c6f90_0, L_0x109b05838, L_0x7fd8375e7da0, C4<>;
857
L_0x7fd8375e8000 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05880;
858
L_0x7fd8375e80e0 .functor MUXZ 1, v0x7fd8375c7220_0, L_0x109b058c8, L_0x7fd8375e8000, C4<>;
859
L_0x7fd8375e8250 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05910;
860
L_0x7fd8375e8430 .functor MUXZ 1, v0x7fd8375c75e0_0, L_0x109b05958, L_0x7fd8375e8250, C4<>;
861
L_0x7fd8375e8590 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b059a0;
862
L_0x7fd8375e8630 .functor MUXZ 1, v0x7fd8375c7400_0, L_0x109b059e8, L_0x7fd8375e8590, C4<>;
863
L_0x7fd8375e87a0 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05a30;
864
L_0x7fd8375e8880 .functor MUXZ 1, v0x7fd8375c74a0_0, L_0x109b05a78, L_0x7fd8375e87a0, C4<>;
865
L_0x7fd8375e8a00 .cmp/ne 2, v0x7fd8375c5550_0, L_0x109b05ac0;
866
L_0x7fd8375e8ae0 .functor MUXZ 1, v0x7fd8375c7360_0, L_0x109b05b08, L_0x7fd8375e8a00, C4<>;
867
L_0x7fd8375e8c70 .array/port v0x7fd8375c6320, L_0x7fd8375e8d10;
868
L_0x7fd8375e8d10 .concat [ 4 2 0 0], L_0x7fd8375e6b80, L_0x109b05b50;
869
L_0x7fd8375e8f30 .array/port v0x7fd8375c63b0, L_0x7fd8375e8fd0;
870
L_0x7fd8375e8fd0 .concat [ 4 2 0 0], L_0x7fd8375e6c80, L_0x109b05b98;
871
L_0x7fd8375e9200 .array/port v0x7fd8375c6320, L_0x7fd8375e92a0;
872
L_0x7fd8375e92a0 .concat [ 4 2 0 0], L_0x7fd8375e6b80, L_0x109b05be0;
873
L_0x7fd8375e94c0 .array/port v0x7fd8375c63b0, L_0x7fd8375e9560;
874
L_0x7fd8375e9560 .concat [ 4 2 0 0], L_0x7fd8375e6c80, L_0x109b05c28;
875
L_0x7fd8375e9790 .part L_0x7fd8375e7150, 0, 2;
876
L_0x7fd8375e9870 .concat [ 2 30 0 0], L_0x7fd8375e9790, L_0x109b05c70;
877
L_0x7fd8375e96a0 .cmp/eq 32, L_0x7fd8375e9870, L_0x109b05cb8;
878
L_0x7fd8375e9b10 .part L_0x7fd8375e7150, 2, 1;
879
L_0x7fd8375e9950 .concat [ 1 31 0 0], L_0x7fd8375e9b10, L_0x109b05d00;
880
L_0x7fd8375e9d00 .cmp/eq 32, L_0x7fd8375e9950, L_0x109b05d48;
881
L_0x7fd8375e9bb0 .part L_0x7fd8375dee20, 7, 1;
882
L_0x7fd8375ea040 .part L_0x109b054d8, 8, 24;
883
L_0x7fd8375e9e20 .part L_0x109b05490, 8, 24;
884
L_0x7fd8375ea290 .functor MUXZ 24, L_0x7fd8375e9e20, L_0x7fd8375ea040, L_0x7fd8375e9c50, C4<>;
885
L_0x7fd8375ea120 .part L_0x7fd8375dee20, 0, 8;
886
L_0x7fd8375ea4b0 .concat [ 8 24 0 0], L_0x7fd8375ea120, L_0x7fd8375ea290;
887
L_0x7fd8375ea330 .part L_0x7fd8375e7150, 0, 2;
888
L_0x7fd8375ea6e0 .concat [ 2 30 0 0], L_0x7fd8375ea330, L_0x109b05d90;
889
L_0x7fd8375ea550 .cmp/eq 32, L_0x7fd8375ea6e0, L_0x109b05dd8;
890
L_0x7fd8375ea920 .part L_0x7fd8375e7150, 2, 1;
891
L_0x7fd8375ea780 .concat [ 1 31 0 0], L_0x7fd8375ea920, L_0x109b05e20;
892
L_0x7fd8375ea860 .cmp/eq 32, L_0x7fd8375ea780, L_0x109b05e68;
893
L_0x7fd8375ea9c0 .part L_0x7fd8375dee20, 15, 1;
894
L_0x7fd8375eadb0 .part L_0x109b054d8, 16, 16;
895
L_0x7fd8375eabf0 .part L_0x109b05490, 16, 16;
896
L_0x7fd8375eac90 .functor MUXZ 16, L_0x7fd8375eabf0, L_0x7fd8375eadb0, L_0x7fd8375eaa60, C4<>;
897
L_0x7fd8375eb0b0 .part L_0x7fd8375dee20, 0, 16;
898
L_0x7fd8375eb150 .concat [ 16 16 0 0], L_0x7fd8375eb0b0, L_0x7fd8375eac90;
899
L_0x7fd8375eae50 .functor MUXZ 32, L_0x7fd8375dee20, L_0x7fd8375eb150, L_0x7fd8375ea550, C4<>;
900
L_0x7fd8375eaf70 .functor MUXZ 32, L_0x7fd8375eae50, L_0x7fd8375ea4b0, L_0x7fd8375e96a0, C4<>;
901
L_0x7fd8375eb630 .functor MUXZ 32, L_0x7fd8375e8e30, v0x7fd8375c7680_0, v0x7fd8375c7400_0, C4<>;
902
L_0x7fd8375eb750 .functor MUXZ 32, L_0x7fd8375e93e0, v0x7fd8375c6140_0, v0x7fd8375c7400_0, C4<>;
903
L_0x7fd8375eb2b0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b05ef8;
904
L_0x7fd8375eb390 .cmp/eq 32, L_0x7fd8375eb2b0, L_0x109b05f40;
905
L_0x7fd8375eb8e0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b05f88;
906
L_0x7fd8375ebc60 .cmp/eq 32, L_0x7fd8375eb8e0, L_0x109b05fd0;
907
L_0x7fd8375ebb10 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06018;
908
L_0x7fd8375ebbb0 .cmp/eq 32, L_0x7fd8375ebb10, L_0x109b06060;
909
L_0x7fd8375ebeb0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b060a8;
910
L_0x7fd8375ec2f0 .cmp/eq 32, L_0x7fd8375ebeb0, L_0x109b060f0;
911
L_0x7fd8375ec0b0 .cmp/gt 32, L_0x7fd8375eb750, L_0x7fd8375e9130;
912
L_0x7fd8375ec190 .functor MUXZ 32, L_0x109b06180, L_0x109b06138, L_0x7fd8375ec0b0, C4<>;
913
L_0x7fd8375ec6d0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b061c8;
914
L_0x7fd8375ec7b0 .cmp/eq 32, L_0x7fd8375ec6d0, L_0x109b06210;
915
L_0x7fd8375ec430 .cmp/gt.s 32, L_0x7fd8375eb630, L_0x7fd8375e8b80;
916
L_0x7fd8375ec510 .functor MUXZ 32, L_0x109b062a0, L_0x109b06258, L_0x7fd8375ec430, C4<>;
917
L_0x7fd8375ecb50 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b062e8;
918
L_0x7fd8375ecc30 .cmp/eq 32, L_0x7fd8375ecb50, L_0x109b06330;
919
L_0x7fd8375ec8d0 .part L_0x7fd8375e71f0, 5, 1;
920
L_0x7fd8375ed000 .arith/sub 32, L_0x7fd8375e9130, L_0x7fd8375eb750;
921
L_0x7fd8375ecd70 .arith/sum 32, L_0x7fd8375e9130, L_0x7fd8375eb630;
922
L_0x7fd8375ece10 .functor MUXZ 32, L_0x7fd8375ecd70, L_0x7fd8375ed000, L_0x7fd8375ec9b0, C4<>;
923
L_0x7fd8375ecf30 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06378;
924
L_0x7fd8375ed390 .cmp/eq 32, L_0x7fd8375ecf30, L_0x109b063c0;
925
L_0x7fd8375ed0a0 .part L_0x7fd8375eb750, 0, 5;
926
L_0x7fd8375ed1c0 .shift/l 32, L_0x7fd8375e9130, L_0x7fd8375ed0a0;
927
L_0x7fd8375ed260 .part L_0x7fd8375e71f0, 5, 1;
928
L_0x7fd8375ed4b0 .part L_0x7fd8375eb750, 0, 5;
929
L_0x7fd8375ed550 .shift/rs 32, L_0x7fd8375e8b80, L_0x7fd8375ed4b0;
930
L_0x7fd8375ed5f0 .part L_0x7fd8375eb750, 0, 5;
931
L_0x7fd8375ed690 .shift/r 32, L_0x7fd8375e9130, L_0x7fd8375ed5f0;
932
L_0x7fd8375edb60 .functor MUXZ 32, L_0x7fd8375ed690, L_0x7fd8375ed550, L_0x7fd8375ed260, C4<>;
933
L_0x7fd8375ed8f0 .functor MUXZ 32, L_0x7fd8375edb60, L_0x7fd8375ed1c0, L_0x7fd8375ed390, C4<>;
934
L_0x7fd8375eda10 .functor MUXZ 32, L_0x7fd8375ed8f0, L_0x7fd8375ece10, L_0x7fd8375ecc30, C4<>;
935
L_0x7fd8375edf50 .functor MUXZ 32, L_0x7fd8375eda10, L_0x7fd8375ec510, L_0x7fd8375ec7b0, C4<>;
936
L_0x7fd8375ee0b0 .functor MUXZ 32, L_0x7fd8375edf50, L_0x7fd8375ec190, L_0x7fd8375ec2f0, C4<>;
937
L_0x7fd8375edcc0 .functor MUXZ 32, L_0x7fd8375ee0b0, L_0x7fd8375ebd40, L_0x7fd8375ebbb0, C4<>;
938
L_0x7fd8375edde0 .functor MUXZ 32, L_0x7fd8375edcc0, L_0x7fd8375eba40, L_0x7fd8375ebc60, C4<>;
939
L_0x7fd8375ee4c0 .functor MUXZ 32, L_0x7fd8375edde0, L_0x7fd8375eb7f0, L_0x7fd8375eb390, C4<>;
940
L_0x7fd8375ee620 .concat [ 1 31 0 0], L_0x7fd8375e7ea0, L_0x109b06408;
941
L_0x7fd8375ee190 .cmp/eq 32, L_0x7fd8375ee620, L_0x109b06450;
942
L_0x7fd8375ee2b0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06498;
943
L_0x7fd8375ee390 .cmp/eq 32, L_0x7fd8375ee2b0, L_0x109b064e0;
944
L_0x7fd8375eea90 .cmp/gt.s 32, L_0x7fd8375eb630, L_0x7fd8375e8b80;
945
L_0x7fd8375ee700 .concat [ 1 31 0 0], L_0x7fd8375eea90, L_0x109b06528;
946
L_0x7fd8375ee7e0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06570;
947
L_0x7fd8375ee8c0 .cmp/eq 32, L_0x7fd8375ee7e0, L_0x109b065b8;
948
L_0x7fd8375eef20 .cmp/ge.s 32, L_0x7fd8375e8b80, L_0x7fd8375e8e30;
949
L_0x7fd8375eebb0 .concat [ 1 31 0 0], L_0x7fd8375eef20, L_0x109b06600;
950
L_0x7fd8375eec90 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06648;
951
L_0x7fd8375eed70 .cmp/eq 32, L_0x7fd8375eec90, L_0x109b06690;
952
L_0x7fd8375ef350 .cmp/gt 32, L_0x7fd8375eb750, L_0x7fd8375e9130;
953
L_0x7fd8375eefc0 .concat [ 1 31 0 0], L_0x7fd8375ef350, L_0x109b066d8;
954
L_0x7fd8375ef060 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b06720;
955
L_0x7fd8375ebf70 .cmp/eq 32, L_0x7fd8375ef060, L_0x109b06768;
956
L_0x7fd8375ef7e0 .cmp/ge 32, L_0x7fd8375e9130, L_0x7fd8375e93e0;
957
L_0x7fd8375ef3f0 .concat [ 1 31 0 0], L_0x7fd8375ef7e0, L_0x109b067b0;
958
L_0x7fd8375ef4f0 .concat [ 3 29 0 0], L_0x7fd8375e7150, L_0x109b067f8;
959
L_0x7fd8375ef610 .cmp/eq 32, L_0x7fd8375ef4f0, L_0x109b06840;
960
L_0x7fd8375efe40 .reduce/nor L_0x7fd8375efcd0;
961
L_0x7fd8375ef900 .concat [ 1 31 0 0], L_0x7fd8375efe40, L_0x109b06888;
962
L_0x7fd8375efaf0 .functor MUXZ 32, L_0x7fd8375ef9e0, L_0x7fd8375ef900, L_0x7fd8375ef610, C4<>;
963
L_0x7fd8375efc30 .functor MUXZ 32, L_0x7fd8375efaf0, L_0x7fd8375ef3f0, L_0x7fd8375ebf70, C4<>;
964
L_0x7fd8375f0390 .functor MUXZ 32, L_0x7fd8375efc30, L_0x7fd8375eefc0, L_0x7fd8375eed70, C4<>;
965
L_0x7fd8375effa0 .functor MUXZ 32, L_0x7fd8375f0390, L_0x7fd8375eebb0, L_0x7fd8375ee8c0, C4<>;
966
L_0x7fd8375f0100 .functor MUXZ 32, L_0x7fd8375effa0, L_0x7fd8375ee700, L_0x7fd8375ee390, C4<>;
967
L_0x7fd8375f0430 .cmp/ne 32, L_0x7fd8375f0100, L_0x109b068d0;
968
L_0x7fd8375f07f0 .arith/sum 32, v0x7fd8375c6090_0, v0x7fd8375c7680_0;
969
L_0x7fd8375f08f0 .functor MUXZ 32, L_0x7fd8375f07f0, L_0x7fd8375f0ae0, L_0x7fd8375e7c50, C4<>;
970
L_0x7fd8375f0ae0 .arith/sum 32, L_0x7fd8375e9130, v0x7fd8375c7680_0;
971
L_0x7fd8375f0be0 .reduce/nor L_0x7fd8375e8430;
972
L_0x7fd8375f0d70 .part L_0x7fd8375e7150, 0, 2;
973
L_0x7fd8375f0e10 .concat [ 2 30 0 0], L_0x7fd8375f0d70, L_0x109b06918;
974
L_0x7fd8375f0ef0 .cmp/eq 32, L_0x7fd8375f0e10, L_0x109b06960;
975
L_0x7fd8375f1220 .part L_0x7fd8375e7150, 0, 2;
976
L_0x7fd8375f12c0 .concat [ 2 30 0 0], L_0x7fd8375f1220, L_0x109b069a8;
977
L_0x7fd8375f13a0 .cmp/eq 32, L_0x7fd8375f12c0, L_0x109b069f0;
978
L_0x7fd8375f1670 .concat8 [ 1 1 1 0], L_0x7fd8375f1010, L_0x7fd8375f14c0, L_0x7fd8375f1a70;
979
L_0x7fd8375f17d0 .part L_0x7fd8375e7150, 0, 2;
980
L_0x7fd8375f1870 .concat [ 2 30 0 0], L_0x7fd8375f17d0, L_0x109b06a38;
981
L_0x7fd8375f1950 .cmp/eq 32, L_0x7fd8375f1870, L_0x109b06a80;
982
L_0x7fd8375f15b0 .reduce/or v0x7fd8375c5550_0;
983
L_0x7fd8375f1d20 .concat [ 1 1 1 1], L_0x7fd8375e80e0, L_0x7fd8375e8430, L_0x7fd8375f15b0, v0x7fd8375c7540_0;
984
S_0x7fd8375d09c0 .scope module, "uart0" "darkuart" 3 686, 5 74 0, S_0x7fd837573a70;
985 4 marcelos
 .timescale -9 -12;
986
    .port_info 0 /INPUT 1 "CLK"
987
    .port_info 1 /INPUT 1 "RES"
988
    .port_info 2 /INPUT 1 "RD"
989
    .port_info 3 /INPUT 1 "WR"
990
    .port_info 4 /INPUT 4 "BE"
991
    .port_info 5 /INPUT 32 "DATAI"
992
    .port_info 6 /OUTPUT 32 "DATAO"
993
    .port_info 7 /OUTPUT 1 "IRQ"
994
    .port_info 8 /INPUT 1 "RXD"
995
    .port_info 9 /OUTPUT 1 "TXD"
996
    .port_info 10 /OUTPUT 1 "FINISH_REQ"
997
    .port_info 11 /OUTPUT 4 "DEBUG"
998 6 marcelos
L_0x7fd8375e4440 .functor XOR 1, v0x7fd8375d18a0_0, v0x7fd8375d15b0_0, C4<0>, C4<0>;
999
L_0x7fd8375e44b0 .functor XOR 1, v0x7fd8375d1eb0_0, v0x7fd8375d1cb0_0, C4<0>, C4<0>;
1000
L_0x7fd8375e4720 .functor XOR 8, L_0x7fd8375e4580, v0x7fd8375d1b50_0, C4<00000000>, C4<00000000>;
1001
v0x7fd8375d0c40_0 .net "BE", 3 0, L_0x7fd8375e32d0;  alias, 1 drivers
1002
v0x7fd8375d0cd0_0 .net "CLK", 0 0, L_0x7fd8375dc7c0;  alias, 1 drivers
1003
v0x7fd8375d0d90_0 .net "DATAI", 31 0, L_0x7fd8375e1010;  alias, 1 drivers
1004
v0x7fd8375d0e40_0 .net "DATAO", 31 0, L_0x7fd8375e48d0;  alias, 1 drivers
1005
v0x7fd8375d0ee0_0 .var "DATAOFF", 31 0;
1006
v0x7fd8375d0fd0_0 .net "DEBUG", 3 0, L_0x7fd8375e5700;  alias, 1 drivers
1007
v0x7fd8375d1080_0 .var "FINISH_REQ", 0 0;
1008
v0x7fd8375d1110_0 .net "IRQ", 0 0, L_0x7fd8375e47d0;  1 drivers
1009
v0x7fd8375d11a0_0 .net "RD", 0 0, L_0x7fd8375e5b40;  1 drivers
1010
v0x7fd8375d12c0_0 .net "RES", 0 0, L_0x7fd8375dc870;  alias, 1 drivers
1011
v0x7fd8375d1370_0 .net "RXD", 0 0, L_0x109b04008;  alias, 1 drivers
1012
v0x7fd8375d1400_0 .net "TXD", 0 0, L_0x7fd8375e51c0;  alias, 1 drivers
1013
v0x7fd8375d1490_0 .var "UART_IACK", 0 0;
1014
v0x7fd8375d1520_0 .var "UART_IREQ", 0 0;
1015
v0x7fd8375d15b0_0 .var "UART_RACK", 0 0;
1016
v0x7fd8375d1640_0 .var "UART_RBAUD", 15 0;
1017
v0x7fd8375d16f0_0 .var "UART_RFIFO", 7 0;
1018
v0x7fd8375d18a0_0 .var "UART_RREQ", 0 0;
1019
v0x7fd8375d1940_0 .var "UART_RSTATE", 3 0;
1020
v0x7fd8375d19f0_0 .var "UART_RXDFF", 2 0;
1021
v0x7fd8375d1aa0_0 .net "UART_STATE", 7 0, L_0x7fd8375e4580;  1 drivers
1022
v0x7fd8375d1b50_0 .var "UART_STATEFF", 7 0;
1023
v0x7fd8375d1c00_0 .var "UART_TIMER", 15 0;
1024
v0x7fd8375d1cb0_0 .var "UART_XACK", 0 0;
1025
v0x7fd8375d1d50_0 .var "UART_XBAUD", 15 0;
1026
v0x7fd8375d1e00_0 .var "UART_XFIFO", 7 0;
1027
v0x7fd8375d1eb0_0 .var "UART_XREQ", 0 0;
1028
v0x7fd8375d1f50_0 .var "UART_XSTATE", 3 0;
1029
v0x7fd8375d2000_0 .net "WR", 0 0, L_0x7fd8375e6890;  1 drivers
1030
L_0x109b050a0 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>;
1031
v0x7fd8375d20a0_0 .net/2u *"_s0", 5 0, L_0x109b050a0;  1 drivers
1032
v0x7fd8375d2150_0 .net *"_s15", 0 0, L_0x7fd8375e49f0;  1 drivers
1033
v0x7fd8375d2200_0 .net *"_s17", 2 0, L_0x7fd8375e4ad0;  1 drivers
1034
v0x7fd8375d22b0_0 .net *"_s19", 0 0, L_0x7fd8375e4c00;  1 drivers
1035
v0x7fd8375d17a0_0 .net *"_s2", 0 0, L_0x7fd8375e4440;  1 drivers
1036
v0x7fd8375d2540_0 .net *"_s20", 1 0, L_0x7fd8375e4ce0;  1 drivers
1037
L_0x109b050e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
1038
v0x7fd8375d25d0_0 .net *"_s23", 0 0, L_0x109b050e8;  1 drivers
1039
v0x7fd8375d2670_0 .net *"_s24", 31 0, L_0x7fd8375e4e60;  1 drivers
1040
L_0x109b05130 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
1041
v0x7fd8375d2720_0 .net *"_s27", 27 0, L_0x109b05130;  1 drivers
1042
L_0x109b05178 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
1043
v0x7fd8375d27d0_0 .net/2u *"_s28", 31 0, L_0x109b05178;  1 drivers
1044
v0x7fd8375d2880_0 .net *"_s30", 0 0, L_0x7fd8375e4f60;  1 drivers
1045
L_0x109b051c0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
1046
v0x7fd8375d2920_0 .net/2u *"_s32", 1 0, L_0x109b051c0;  1 drivers
1047
L_0x109b05208 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
1048
v0x7fd8375d29d0_0 .net/2u *"_s34", 1 0, L_0x109b05208;  1 drivers
1049
v0x7fd8375d2a80_0 .net *"_s36", 1 0, L_0x7fd8375e1400;  1 drivers
1050
v0x7fd8375d2b30_0 .net *"_s38", 1 0, L_0x7fd8375e1560;  1 drivers
1051
v0x7fd8375d2be0_0 .net *"_s4", 0 0, L_0x7fd8375e44b0;  1 drivers
1052
v0x7fd8375d2c90_0 .net *"_s42", 31 0, L_0x7fd8375e5260;  1 drivers
1053
L_0x109b05250 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
1054
v0x7fd8375d2d40_0 .net *"_s45", 27 0, L_0x109b05250;  1 drivers
1055
L_0x109b05298 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
1056
v0x7fd8375d2df0_0 .net/2u *"_s46", 31 0, L_0x109b05298;  1 drivers
1057
v0x7fd8375d2ea0_0 .net *"_s48", 0 0, L_0x7fd8375e53c0;  1 drivers
1058
v0x7fd8375d2f40_0 .net *"_s50", 31 0, L_0x7fd8375e54a0;  1 drivers
1059
L_0x109b052e0 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
1060
v0x7fd8375d2ff0_0 .net *"_s53", 27 0, L_0x109b052e0;  1 drivers
1061
L_0x109b05328 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
1062
v0x7fd8375d30a0_0 .net/2u *"_s54", 31 0, L_0x109b05328;  1 drivers
1063
v0x7fd8375d3150_0 .net *"_s56", 0 0, L_0x7fd8375e5620;  1 drivers
1064
v0x7fd8375d31f0_0 .net *"_s8", 7 0, L_0x7fd8375e4720;  1 drivers
1065
L_0x7fd8375e4580 .concat [ 1 1 6 0], L_0x7fd8375e44b0, L_0x7fd8375e4440, L_0x109b050a0;
1066
L_0x7fd8375e47d0 .reduce/or L_0x7fd8375e4720;
1067
L_0x7fd8375e48d0 .concat [ 8 8 16 0], L_0x7fd8375e4580, v0x7fd8375d16f0_0, v0x7fd8375d1c00_0;
1068
L_0x7fd8375e49f0 .part v0x7fd8375d1f50_0, 3, 1;
1069
L_0x7fd8375e4ad0 .part v0x7fd8375d1f50_0, 0, 3;
1070
L_0x7fd8375e4c00 .part/v v0x7fd8375d1e00_0, L_0x7fd8375e4ad0, 1;
1071
L_0x7fd8375e4ce0 .concat [ 1 1 0 0], L_0x7fd8375e4c00, L_0x109b050e8;
1072
L_0x7fd8375e4e60 .concat [ 4 28 0 0], v0x7fd8375d1f50_0, L_0x109b05130;
1073
L_0x7fd8375e4f60 .cmp/eq 32, L_0x7fd8375e4e60, L_0x109b05178;
1074
L_0x7fd8375e1400 .functor MUXZ 2, L_0x109b05208, L_0x109b051c0, L_0x7fd8375e4f60, C4<>;
1075
L_0x7fd8375e1560 .functor MUXZ 2, L_0x7fd8375e1400, L_0x7fd8375e4ce0, L_0x7fd8375e49f0, C4<>;
1076
L_0x7fd8375e51c0 .part L_0x7fd8375e1560, 0, 1;
1077
L_0x7fd8375e5260 .concat [ 4 28 0 0], v0x7fd8375d1f50_0, L_0x109b05250;
1078
L_0x7fd8375e53c0 .cmp/ne 32, L_0x7fd8375e5260, L_0x109b05298;
1079
L_0x7fd8375e54a0 .concat [ 4 28 0 0], v0x7fd8375d1940_0, L_0x109b052e0;
1080
L_0x7fd8375e5620 .cmp/ne 32, L_0x7fd8375e54a0, L_0x109b05328;
1081
L_0x7fd8375e5700 .concat [ 1 1 1 1], L_0x7fd8375e5620, L_0x7fd8375e53c0, L_0x7fd8375e51c0, L_0x109b04008;
1082
    .scope S_0x7fd8375d09c0;
1083 4 marcelos
T_0 ;
1084
    %pushi/vec4 0, 0, 1;
1085 6 marcelos
    %store/vec4 v0x7fd8375d1080_0, 0, 1;
1086 4 marcelos
    %pushi/vec4 868, 0, 16;
1087 6 marcelos
    %store/vec4 v0x7fd8375d1c00_0, 0, 16;
1088 4 marcelos
    %pushi/vec4 0, 0, 1;
1089 6 marcelos
    %store/vec4 v0x7fd8375d1520_0, 0, 1;
1090 4 marcelos
    %pushi/vec4 0, 0, 1;
1091 6 marcelos
    %store/vec4 v0x7fd8375d1490_0, 0, 1;
1092 4 marcelos
    %pushi/vec4 0, 0, 8;
1093 6 marcelos
    %store/vec4 v0x7fd8375d1e00_0, 0, 8;
1094 4 marcelos
    %pushi/vec4 0, 0, 1;
1095 6 marcelos
    %store/vec4 v0x7fd8375d1eb0_0, 0, 1;
1096 4 marcelos
    %pushi/vec4 0, 0, 1;
1097 6 marcelos
    %store/vec4 v0x7fd8375d1cb0_0, 0, 1;
1098 4 marcelos
    %pushi/vec4 0, 0, 16;
1099 6 marcelos
    %store/vec4 v0x7fd8375d1d50_0, 0, 16;
1100 4 marcelos
    %pushi/vec4 0, 0, 4;
1101 6 marcelos
    %store/vec4 v0x7fd8375d1f50_0, 0, 4;
1102 4 marcelos
    %pushi/vec4 0, 0, 8;
1103 6 marcelos
    %store/vec4 v0x7fd8375d16f0_0, 0, 8;
1104 4 marcelos
    %pushi/vec4 0, 0, 1;
1105 6 marcelos
    %store/vec4 v0x7fd8375d18a0_0, 0, 1;
1106 4 marcelos
    %pushi/vec4 0, 0, 1;
1107 6 marcelos
    %store/vec4 v0x7fd8375d15b0_0, 0, 1;
1108 4 marcelos
    %pushi/vec4 0, 0, 16;
1109 6 marcelos
    %store/vec4 v0x7fd8375d1640_0, 0, 16;
1110 4 marcelos
    %pushi/vec4 0, 0, 4;
1111 6 marcelos
    %store/vec4 v0x7fd8375d1940_0, 0, 4;
1112 4 marcelos
    %pushi/vec4 7, 0, 3;
1113 6 marcelos
    %store/vec4 v0x7fd8375d19f0_0, 0, 3;
1114 4 marcelos
    %pushi/vec4 0, 0, 8;
1115 6 marcelos
    %store/vec4 v0x7fd8375d1b50_0, 0, 8;
1116 4 marcelos
    %pushi/vec4 0, 0, 32;
1117 6 marcelos
    %store/vec4 v0x7fd8375d0ee0_0, 0, 32;
1118 4 marcelos
    %end;
1119
    .thread T_0;
1120 6 marcelos
    .scope S_0x7fd8375d09c0;
1121 4 marcelos
T_1 ;
1122 6 marcelos
    %wait E_0x7fd837581470;
1123
    %load/vec4 v0x7fd8375d2000_0;
1124 4 marcelos
    %flag_set/vec4 8;
1125
    %jmp/0xz  T_1.0, 8;
1126 6 marcelos
    %load/vec4 v0x7fd8375d0c40_0;
1127 4 marcelos
    %parti/s 1, 1, 2;
1128
    %flag_set/vec4 8;
1129
    %jmp/0xz  T_1.2, 8;
1130 6 marcelos
    %load/vec4 v0x7fd8375d0d90_0;
1131 4 marcelos
    %parti/s 8, 8, 5;
1132 6 marcelos
    %assign/vec4 v0x7fd8375d1e00_0, 0;
1133
    %load/vec4 v0x7fd8375d0d90_0;
1134 4 marcelos
    %parti/s 8, 8, 5;
1135
    %pad/u 32;
1136
    %cmpi/ne 13, 0, 32;
1137
    %jmp/0xz  T_1.4, 4;
1138 6 marcelos
    %vpi_call 5 136 "$write", "%c", &PV {0 0 0};
1139 4 marcelos
T_1.4 ;
1140 6 marcelos
    %load/vec4 v0x7fd8375d0d90_0;
1141 4 marcelos
    %parti/s 8, 8, 5;
1142
    %pushi/vec4 35, 0, 8; draw_string_vec4
1143
    %cmp/e;
1144
    %jmp/0xz  T_1.6, 4;
1145
    %vpi_call 5 141 "$display", "[checkpoint #]" {0 0 0};
1146
    %vpi_call 5 142 "$stop" {0 0 0};
1147
T_1.6 ;
1148 6 marcelos
    %load/vec4 v0x7fd8375d0d90_0;
1149 4 marcelos
    %parti/s 8, 8, 5;
1150
    %pushi/vec4 62, 0, 8; draw_string_vec4
1151
    %cmp/e;
1152
    %jmp/0xz  T_1.8, 4;
1153
    %vpi_call 5 147 "$display", " no UART input, end simulation request..." {0 0 0};
1154
    %pushi/vec4 1, 0, 1;
1155 6 marcelos
    %assign/vec4 v0x7fd8375d1080_0, 0;
1156 4 marcelos
T_1.8 ;
1157
T_1.2 ;
1158
T_1.0 ;
1159 6 marcelos
    %load/vec4 v0x7fd8375d12c0_0;
1160 4 marcelos
    %flag_set/vec4 8;
1161
    %jmp/0xz  T_1.10, 8;
1162 6 marcelos
    %load/vec4 v0x7fd8375d18a0_0;
1163
    %assign/vec4 v0x7fd8375d15b0_0, 0;
1164
    %load/vec4 v0x7fd8375d1aa0_0;
1165
    %assign/vec4 v0x7fd8375d1b50_0, 0;
1166 4 marcelos
    %jmp T_1.11;
1167
T_1.10 ;
1168 6 marcelos
    %load/vec4 v0x7fd8375d11a0_0;
1169 4 marcelos
    %flag_set/vec4 8;
1170
    %jmp/0xz  T_1.12, 8;
1171 6 marcelos
    %load/vec4 v0x7fd8375d0c40_0;
1172 4 marcelos
    %parti/s 1, 1, 2;
1173
    %flag_set/vec4 8;
1174
    %jmp/0xz  T_1.14, 8;
1175 6 marcelos
    %load/vec4 v0x7fd8375d18a0_0;
1176
    %assign/vec4 v0x7fd8375d15b0_0, 0;
1177 4 marcelos
T_1.14 ;
1178 6 marcelos
    %load/vec4 v0x7fd8375d0c40_0;
1179 4 marcelos
    %parti/s 1, 0, 2;
1180
    %flag_set/vec4 8;
1181
    %jmp/0xz  T_1.16, 8;
1182 6 marcelos
    %load/vec4 v0x7fd8375d1aa0_0;
1183
    %assign/vec4 v0x7fd8375d1b50_0, 0;
1184 4 marcelos
T_1.16 ;
1185
T_1.12 ;
1186
T_1.11 ;
1187
    %jmp T_1;
1188
    .thread T_1;
1189 6 marcelos
    .scope S_0x7fd8375d09c0;
1190 4 marcelos
T_2 ;
1191 6 marcelos
    %wait E_0x7fd837581470;
1192
    %load/vec4 v0x7fd8375d1f50_0;
1193 4 marcelos
    %pad/u 32;
1194
    %cmpi/e 6, 0, 32;
1195
    %flag_mov 8, 4;
1196
    %jmp/0 T_2.0, 8;
1197 6 marcelos
    %load/vec4 v0x7fd8375d1c00_0;
1198 4 marcelos
    %jmp/1 T_2.1, 8;
1199
T_2.0 ; End of true expr.
1200 6 marcelos
    %load/vec4 v0x7fd8375d1d50_0;
1201 4 marcelos
    %cmpi/ne 0, 0, 16;
1202
    %flag_mov 9, 4;
1203
    %jmp/0 T_2.2, 9;
1204 6 marcelos
    %load/vec4 v0x7fd8375d1d50_0;
1205 4 marcelos
    %subi 1, 0, 16;
1206
    %jmp/1 T_2.3, 9;
1207
T_2.2 ; End of true expr.
1208 6 marcelos
    %load/vec4 v0x7fd8375d1c00_0;
1209 4 marcelos
    %jmp/0 T_2.3, 9;
1210
 ; End of false expr.
1211
    %blend;
1212
T_2.3;
1213
    %jmp/0 T_2.1, 8;
1214
 ; End of false expr.
1215
    %blend;
1216
T_2.1;
1217 6 marcelos
    %assign/vec4 v0x7fd8375d1d50_0, 0;
1218
    %load/vec4 v0x7fd8375d12c0_0;
1219 4 marcelos
    %flag_set/vec4 8;
1220 6 marcelos
    %load/vec4 v0x7fd8375d1f50_0;
1221 4 marcelos
    %pad/u 32;
1222
    %cmpi/e 1, 0, 32;
1223
    %flag_or 4, 8;
1224
    %flag_mov 8, 4;
1225
    %jmp/0 T_2.4, 8;
1226
    %pushi/vec4 6, 0, 4;
1227
    %jmp/1 T_2.5, 8;
1228
T_2.4 ; End of true expr.
1229 6 marcelos
    %load/vec4 v0x7fd8375d1f50_0;
1230 4 marcelos
    %pad/u 32;
1231
    %cmpi/e 6, 0, 32;
1232
    %flag_mov 9, 4;
1233
    %jmp/0 T_2.6, 9;
1234 6 marcelos
    %load/vec4 v0x7fd8375d1f50_0;
1235
    %load/vec4 v0x7fd8375d1eb0_0;
1236 4 marcelos
    %pad/u 4;
1237 6 marcelos
    %load/vec4 v0x7fd8375d1cb0_0;
1238 4 marcelos
    %pad/u 4;
1239
    %xor;
1240
    %add;
1241
    %jmp/1 T_2.7, 9;
1242
T_2.6 ; End of true expr.
1243 6 marcelos
    %load/vec4 v0x7fd8375d1f50_0;
1244
    %load/vec4 v0x7fd8375d1d50_0;
1245 4 marcelos
    %pad/u 32;
1246
    %pushi/vec4 0, 0, 32;
1247
    %cmp/e;
1248
    %flag_get/vec4 4;
1249
    %pad/u 4;
1250
    %add;
1251
    %jmp/0 T_2.7, 9;
1252
 ; End of false expr.
1253
    %blend;
1254
T_2.7;
1255
    %jmp/0 T_2.5, 8;
1256
 ; End of false expr.
1257
    %blend;
1258
T_2.5;
1259 6 marcelos
    %assign/vec4 v0x7fd8375d1f50_0, 0;
1260
    %load/vec4 v0x7fd8375d12c0_0;
1261 4 marcelos
    %flag_set/vec4 8;
1262 6 marcelos
    %load/vec4 v0x7fd8375d1f50_0;
1263 4 marcelos
    %pad/u 32;
1264
    %cmpi/e 1, 0, 32;
1265
    %flag_or 4, 8;
1266
    %flag_mov 8, 4;
1267
    %jmp/0 T_2.8, 8;
1268 6 marcelos
    %load/vec4 v0x7fd8375d1eb0_0;
1269 4 marcelos
    %jmp/1 T_2.9, 8;
1270
T_2.8 ; End of true expr.
1271 6 marcelos
    %load/vec4 v0x7fd8375d1cb0_0;
1272 4 marcelos
    %jmp/0 T_2.9, 8;
1273
 ; End of false expr.
1274
    %blend;
1275
T_2.9;
1276 6 marcelos
    %assign/vec4 v0x7fd8375d1cb0_0, 0;
1277 4 marcelos
    %jmp T_2;
1278
    .thread T_2;
1279 6 marcelos
    .scope S_0x7fd8375d09c0;
1280 4 marcelos
T_3 ;
1281 6 marcelos
    %wait E_0x7fd837581470;
1282
    %load/vec4 v0x7fd8375d19f0_0;
1283 4 marcelos
    %ix/load 4, 1, 0;
1284
    %flag_set/imm 4, 0;
1285
    %shiftl 4;
1286 6 marcelos
    %load/vec4 v0x7fd8375d1370_0;
1287 4 marcelos
    %pad/u 3;
1288
    %or;
1289 6 marcelos
    %assign/vec4 v0x7fd8375d19f0_0, 0;
1290
    %load/vec4 v0x7fd8375d1940_0;
1291 4 marcelos
    %pad/u 32;
1292
    %cmpi/e 6, 0, 32;
1293
    %flag_mov 8, 4;
1294
    %jmp/0 T_3.0, 8;
1295
    %pushi/vec4 0, 0, 1;
1296 6 marcelos
    %load/vec4 v0x7fd8375d1c00_0;
1297 4 marcelos
    %parti/s 15, 1, 2;
1298
    %concat/vec4; draw_concat_vec4
1299
    %jmp/1 T_3.1, 8;
1300
T_3.0 ; End of true expr.
1301 6 marcelos
    %load/vec4 v0x7fd8375d1640_0;
1302 4 marcelos
    %cmpi/ne 0, 0, 16;
1303
    %flag_mov 9, 4;
1304
    %jmp/0 T_3.2, 9;
1305 6 marcelos
    %load/vec4 v0x7fd8375d1640_0;
1306 4 marcelos
    %subi 1, 0, 16;
1307
    %jmp/1 T_3.3, 9;
1308
T_3.2 ; End of true expr.
1309 6 marcelos
    %load/vec4 v0x7fd8375d1c00_0;
1310 4 marcelos
    %jmp/0 T_3.3, 9;
1311
 ; End of false expr.
1312
    %blend;
1313
T_3.3;
1314
    %jmp/0 T_3.1, 8;
1315
 ; End of false expr.
1316
    %blend;
1317
T_3.1;
1318 6 marcelos
    %assign/vec4 v0x7fd8375d1640_0, 0;
1319
    %load/vec4 v0x7fd8375d12c0_0;
1320 4 marcelos
    %flag_set/vec4 8;
1321 6 marcelos
    %load/vec4 v0x7fd8375d1940_0;
1322 4 marcelos
    %pad/u 32;
1323
    %cmpi/e 1, 0, 32;
1324
    %flag_or 4, 8;
1325
    %flag_mov 8, 4;
1326
    %jmp/0 T_3.4, 8;
1327
    %pushi/vec4 6, 0, 4;
1328
    %jmp/1 T_3.5, 8;
1329
T_3.4 ; End of true expr.
1330 6 marcelos
    %load/vec4 v0x7fd8375d1940_0;
1331 4 marcelos
    %pad/u 32;
1332
    %cmpi/e 6, 0, 32;
1333
    %flag_mov 9, 4;
1334
    %jmp/0 T_3.6, 9;
1335 6 marcelos
    %load/vec4 v0x7fd8375d1940_0;
1336
    %load/vec4 v0x7fd8375d19f0_0;
1337 4 marcelos
    %parti/s 2, 1, 2;
1338
    %pushi/vec4 2, 0, 2;
1339
    %cmp/e;
1340
    %flag_get/vec4 4;
1341
    %pad/u 4;
1342
    %add;
1343
    %jmp/1 T_3.7, 9;
1344
T_3.6 ; End of true expr.
1345 6 marcelos
    %load/vec4 v0x7fd8375d1940_0;
1346
    %load/vec4 v0x7fd8375d1640_0;
1347 4 marcelos
    %pad/u 32;
1348
    %pushi/vec4 0, 0, 32;
1349
    %cmp/e;
1350
    %flag_get/vec4 4;
1351
    %pad/u 4;
1352
    %add;
1353
    %jmp/0 T_3.7, 9;
1354
 ; End of false expr.
1355
    %blend;
1356
T_3.7;
1357
    %jmp/0 T_3.5, 8;
1358
 ; End of false expr.
1359
    %blend;
1360
T_3.5;
1361 6 marcelos
    %assign/vec4 v0x7fd8375d1940_0, 0;
1362
    %load/vec4 v0x7fd8375d1940_0;
1363 4 marcelos
    %pad/u 32;
1364
    %cmpi/e 1, 0, 32;
1365
    %flag_mov 8, 4;
1366
    %jmp/0 T_3.8, 8;
1367 6 marcelos
    %load/vec4 v0x7fd8375d15b0_0;
1368 4 marcelos
    %nor/r;
1369
    %jmp/1 T_3.9, 8;
1370
T_3.8 ; End of true expr.
1371 6 marcelos
    %load/vec4 v0x7fd8375d18a0_0;
1372 4 marcelos
    %jmp/0 T_3.9, 8;
1373
 ; End of false expr.
1374
    %blend;
1375
T_3.9;
1376 6 marcelos
    %assign/vec4 v0x7fd8375d18a0_0, 0;
1377
    %load/vec4 v0x7fd8375d1940_0;
1378 4 marcelos
    %parti/s 1, 3, 3;
1379
    %flag_set/vec4 8;
1380
    %jmp/0xz  T_3.10, 8;
1381 6 marcelos
    %load/vec4 v0x7fd8375d19f0_0;
1382 4 marcelos
    %parti/s 1, 2, 3;
1383
    %ix/load 5, 0, 0;
1384 6 marcelos
    %load/vec4 v0x7fd8375d1940_0;
1385 4 marcelos
    %parti/s 3, 0, 2;
1386
    %ix/vec4 4;
1387 6 marcelos
    %assign/vec4/off/d v0x7fd8375d16f0_0, 4, 5;
1388 4 marcelos
T_3.10 ;
1389
    %jmp T_3;
1390
    .thread T_3;
1391 6 marcelos
    .scope S_0x7fd837572930;
1392 4 marcelos
T_4 ;
1393
    %pushi/vec4 1, 0, 1;
1394 6 marcelos
    %store/vec4 v0x7fd8375c7540_0, 0, 1;
1395 4 marcelos
    %pushi/vec4 3, 0, 2;
1396 6 marcelos
    %store/vec4 v0x7fd8375c5550_0, 0, 2;
1397 4 marcelos
    %pushi/vec4 15, 0, 4;
1398 6 marcelos
    %store/vec4 v0x7fd8375c64d0_0, 0, 4;
1399 4 marcelos
    %pushi/vec4 0, 0, 32;
1400 6 marcelos
    %store/vec4 v0x7fd8375d0480_0, 0, 32;
1401 4 marcelos
    %pushi/vec4 0, 0, 32;
1402 6 marcelos
    %store/vec4 v0x7fd8375d0740_0, 0, 32;
1403 4 marcelos
    %pushi/vec4 0, 0, 32;
1404 6 marcelos
    %store/vec4 v0x7fd8375d0690_0, 0, 32;
1405 4 marcelos
    %pushi/vec4 0, 0, 32;
1406 6 marcelos
    %store/vec4 v0x7fd8375d07f0_0, 0, 32;
1407 4 marcelos
    %pushi/vec4 0, 0, 32;
1408 6 marcelos
    %store/vec4 v0x7fd8375d0530_0, 0, 32;
1409 4 marcelos
    %pushi/vec4 0, 0, 32;
1410 6 marcelos
    %store/vec4 v0x7fd8375d05e0_0, 0, 32;
1411 4 marcelos
    %end;
1412
    .thread T_4;
1413 6 marcelos
    .scope S_0x7fd837572930;
1414 4 marcelos
T_5 ;
1415 6 marcelos
    %wait E_0x7fd837581470;
1416
    %load/vec4 v0x7fd8375c7540_0;
1417 4 marcelos
    %flag_set/vec4 8;
1418
    %jmp/0 T_5.0, 8;
1419
    %pushi/vec4 0, 0, 32;
1420
    %jmp/1 T_5.1, 8;
1421
T_5.0 ; End of true expr.
1422 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1423 4 marcelos
    %flag_set/vec4 9;
1424
    %jmp/0 T_5.2, 9;
1425 6 marcelos
    %load/vec4 v0x7fd8375c7030_0;
1426 4 marcelos
    %jmp/1 T_5.3, 9;
1427
T_5.2 ; End of true expr.
1428 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1429 4 marcelos
    %jmp/0 T_5.3, 9;
1430
 ; End of false expr.
1431
    %blend;
1432
T_5.3;
1433
    %jmp/0 T_5.1, 8;
1434
 ; End of false expr.
1435
    %blend;
1436
T_5.1;
1437 6 marcelos
    %assign/vec4 v0x7fd8375c7030_0, 0;
1438
    %load/vec4 v0x7fd8375c7540_0;
1439 4 marcelos
    %flag_set/vec4 8;
1440
    %jmp/0 T_5.4, 8;
1441
    %pushi/vec4 0, 0, 1;
1442
    %jmp/1 T_5.5, 8;
1443
T_5.4 ; End of true expr.
1444 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1445 4 marcelos
    %flag_set/vec4 9;
1446
    %jmp/0 T_5.6, 9;
1447 6 marcelos
    %load/vec4 v0x7fd8375c72c0_0;
1448 4 marcelos
    %jmp/1 T_5.7, 9;
1449
T_5.6 ; End of true expr.
1450 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1451 4 marcelos
    %parti/s 7, 0, 2;
1452
    %pushi/vec4 55, 0, 7;
1453
    %cmp/e;
1454
    %flag_get/vec4 4;
1455
    %jmp/0 T_5.7, 9;
1456
 ; End of false expr.
1457
    %blend;
1458
T_5.7;
1459
    %jmp/0 T_5.5, 8;
1460
 ; End of false expr.
1461
    %blend;
1462
T_5.5;
1463 6 marcelos
    %assign/vec4 v0x7fd8375c72c0_0, 0;
1464
    %load/vec4 v0x7fd8375c7540_0;
1465 4 marcelos
    %flag_set/vec4 8;
1466
    %jmp/0 T_5.8, 8;
1467
    %pushi/vec4 0, 0, 1;
1468
    %jmp/1 T_5.9, 8;
1469
T_5.8 ; End of true expr.
1470 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1471 4 marcelos
    %flag_set/vec4 9;
1472
    %jmp/0 T_5.10, 9;
1473 6 marcelos
    %load/vec4 v0x7fd8375c6ef0_0;
1474 4 marcelos
    %jmp/1 T_5.11, 9;
1475
T_5.10 ; End of true expr.
1476 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1477 4 marcelos
    %parti/s 7, 0, 2;
1478
    %pushi/vec4 23, 0, 7;
1479
    %cmp/e;
1480
    %flag_get/vec4 4;
1481
    %jmp/0 T_5.11, 9;
1482
 ; End of false expr.
1483
    %blend;
1484
T_5.11;
1485
    %jmp/0 T_5.9, 8;
1486
 ; End of false expr.
1487
    %blend;
1488
T_5.9;
1489 6 marcelos
    %assign/vec4 v0x7fd8375c6ef0_0, 0;
1490
    %load/vec4 v0x7fd8375c7540_0;
1491 4 marcelos
    %flag_set/vec4 8;
1492
    %jmp/0 T_5.12, 8;
1493
    %pushi/vec4 0, 0, 1;
1494
    %jmp/1 T_5.13, 8;
1495
T_5.12 ; End of true expr.
1496 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1497 4 marcelos
    %flag_set/vec4 9;
1498
    %jmp/0 T_5.14, 9;
1499 6 marcelos
    %load/vec4 v0x7fd8375c70e0_0;
1500 4 marcelos
    %jmp/1 T_5.15, 9;
1501
T_5.14 ; End of true expr.
1502 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1503 4 marcelos
    %parti/s 7, 0, 2;
1504
    %pushi/vec4 111, 0, 7;
1505
    %cmp/e;
1506
    %flag_get/vec4 4;
1507
    %jmp/0 T_5.15, 9;
1508
 ; End of false expr.
1509
    %blend;
1510
T_5.15;
1511
    %jmp/0 T_5.13, 8;
1512
 ; End of false expr.
1513
    %blend;
1514
T_5.13;
1515 6 marcelos
    %assign/vec4 v0x7fd8375c70e0_0, 0;
1516
    %load/vec4 v0x7fd8375c7540_0;
1517 4 marcelos
    %flag_set/vec4 8;
1518
    %jmp/0 T_5.16, 8;
1519
    %pushi/vec4 0, 0, 1;
1520
    %jmp/1 T_5.17, 8;
1521
T_5.16 ; End of true expr.
1522 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1523 4 marcelos
    %flag_set/vec4 9;
1524
    %jmp/0 T_5.18, 9;
1525 6 marcelos
    %load/vec4 v0x7fd8375c7180_0;
1526 4 marcelos
    %jmp/1 T_5.19, 9;
1527
T_5.18 ; End of true expr.
1528 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1529 4 marcelos
    %parti/s 7, 0, 2;
1530
    %pushi/vec4 103, 0, 7;
1531
    %cmp/e;
1532
    %flag_get/vec4 4;
1533
    %jmp/0 T_5.19, 9;
1534
 ; End of false expr.
1535
    %blend;
1536
T_5.19;
1537
    %jmp/0 T_5.17, 8;
1538
 ; End of false expr.
1539
    %blend;
1540
T_5.17;
1541 6 marcelos
    %assign/vec4 v0x7fd8375c7180_0, 0;
1542
    %load/vec4 v0x7fd8375c7540_0;
1543 4 marcelos
    %flag_set/vec4 8;
1544
    %jmp/0 T_5.20, 8;
1545
    %pushi/vec4 0, 0, 1;
1546
    %jmp/1 T_5.21, 8;
1547
T_5.20 ; End of true expr.
1548 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1549 4 marcelos
    %flag_set/vec4 9;
1550
    %jmp/0 T_5.22, 9;
1551 6 marcelos
    %load/vec4 v0x7fd8375c6f90_0;
1552 4 marcelos
    %jmp/1 T_5.23, 9;
1553
T_5.22 ; End of true expr.
1554 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1555 4 marcelos
    %parti/s 7, 0, 2;
1556
    %pushi/vec4 99, 0, 7;
1557
    %cmp/e;
1558
    %flag_get/vec4 4;
1559
    %jmp/0 T_5.23, 9;
1560
 ; End of false expr.
1561
    %blend;
1562
T_5.23;
1563
    %jmp/0 T_5.21, 8;
1564
 ; End of false expr.
1565
    %blend;
1566
T_5.21;
1567 6 marcelos
    %assign/vec4 v0x7fd8375c6f90_0, 0;
1568
    %load/vec4 v0x7fd8375c7540_0;
1569 4 marcelos
    %flag_set/vec4 8;
1570
    %jmp/0 T_5.24, 8;
1571
    %pushi/vec4 0, 0, 1;
1572
    %jmp/1 T_5.25, 8;
1573
T_5.24 ; End of true expr.
1574 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1575 4 marcelos
    %flag_set/vec4 9;
1576
    %jmp/0 T_5.26, 9;
1577 6 marcelos
    %load/vec4 v0x7fd8375c7220_0;
1578 4 marcelos
    %jmp/1 T_5.27, 9;
1579
T_5.26 ; End of true expr.
1580 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1581 4 marcelos
    %parti/s 7, 0, 2;
1582
    %pushi/vec4 3, 0, 7;
1583
    %cmp/e;
1584
    %flag_get/vec4 4;
1585
    %jmp/0 T_5.27, 9;
1586
 ; End of false expr.
1587
    %blend;
1588
T_5.27;
1589
    %jmp/0 T_5.25, 8;
1590
 ; End of false expr.
1591
    %blend;
1592
T_5.25;
1593 6 marcelos
    %assign/vec4 v0x7fd8375c7220_0, 0;
1594
    %load/vec4 v0x7fd8375c7540_0;
1595 4 marcelos
    %flag_set/vec4 8;
1596
    %jmp/0 T_5.28, 8;
1597
    %pushi/vec4 0, 0, 1;
1598
    %jmp/1 T_5.29, 8;
1599
T_5.28 ; End of true expr.
1600 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1601 4 marcelos
    %flag_set/vec4 9;
1602
    %jmp/0 T_5.30, 9;
1603 6 marcelos
    %load/vec4 v0x7fd8375c75e0_0;
1604 4 marcelos
    %jmp/1 T_5.31, 9;
1605
T_5.30 ; End of true expr.
1606 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1607 4 marcelos
    %parti/s 7, 0, 2;
1608
    %pushi/vec4 35, 0, 7;
1609
    %cmp/e;
1610
    %flag_get/vec4 4;
1611
    %jmp/0 T_5.31, 9;
1612
 ; End of false expr.
1613
    %blend;
1614
T_5.31;
1615
    %jmp/0 T_5.29, 8;
1616
 ; End of false expr.
1617
    %blend;
1618
T_5.29;
1619 6 marcelos
    %assign/vec4 v0x7fd8375c75e0_0, 0;
1620
    %load/vec4 v0x7fd8375c7540_0;
1621 4 marcelos
    %flag_set/vec4 8;
1622
    %jmp/0 T_5.32, 8;
1623
    %pushi/vec4 0, 0, 1;
1624
    %jmp/1 T_5.33, 8;
1625
T_5.32 ; End of true expr.
1626 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1627 4 marcelos
    %flag_set/vec4 9;
1628
    %jmp/0 T_5.34, 9;
1629 6 marcelos
    %load/vec4 v0x7fd8375c7400_0;
1630 4 marcelos
    %jmp/1 T_5.35, 9;
1631
T_5.34 ; End of true expr.
1632 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1633 4 marcelos
    %parti/s 7, 0, 2;
1634
    %pushi/vec4 19, 0, 7;
1635
    %cmp/e;
1636
    %flag_get/vec4 4;
1637
    %jmp/0 T_5.35, 9;
1638
 ; End of false expr.
1639
    %blend;
1640
T_5.35;
1641
    %jmp/0 T_5.33, 8;
1642
 ; End of false expr.
1643
    %blend;
1644
T_5.33;
1645 6 marcelos
    %assign/vec4 v0x7fd8375c7400_0, 0;
1646
    %load/vec4 v0x7fd8375c7540_0;
1647 4 marcelos
    %flag_set/vec4 8;
1648
    %jmp/0 T_5.36, 8;
1649
    %pushi/vec4 0, 0, 1;
1650
    %jmp/1 T_5.37, 8;
1651
T_5.36 ; End of true expr.
1652 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1653 4 marcelos
    %flag_set/vec4 9;
1654
    %jmp/0 T_5.38, 9;
1655 6 marcelos
    %load/vec4 v0x7fd8375c74a0_0;
1656 4 marcelos
    %jmp/1 T_5.39, 9;
1657
T_5.38 ; End of true expr.
1658 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1659 4 marcelos
    %parti/s 7, 0, 2;
1660
    %pushi/vec4 51, 0, 7;
1661
    %cmp/e;
1662
    %flag_get/vec4 4;
1663
    %jmp/0 T_5.39, 9;
1664
 ; End of false expr.
1665
    %blend;
1666
T_5.39;
1667
    %jmp/0 T_5.37, 8;
1668
 ; End of false expr.
1669
    %blend;
1670
T_5.37;
1671 6 marcelos
    %assign/vec4 v0x7fd8375c74a0_0, 0;
1672
    %load/vec4 v0x7fd8375c7540_0;
1673 4 marcelos
    %flag_set/vec4 8;
1674
    %jmp/0 T_5.40, 8;
1675
    %pushi/vec4 0, 0, 1;
1676
    %jmp/1 T_5.41, 8;
1677
T_5.40 ; End of true expr.
1678 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1679 4 marcelos
    %flag_set/vec4 9;
1680
    %jmp/0 T_5.42, 9;
1681 6 marcelos
    %load/vec4 v0x7fd8375c74a0_0;
1682 4 marcelos
    %jmp/1 T_5.43, 9;
1683
T_5.42 ; End of true expr.
1684 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1685 4 marcelos
    %parti/s 7, 0, 2;
1686
    %pushi/vec4 127, 0, 7;
1687
    %cmp/e;
1688
    %flag_get/vec4 4;
1689
    %jmp/0 T_5.43, 9;
1690
 ; End of false expr.
1691
    %blend;
1692
T_5.43;
1693
    %jmp/0 T_5.41, 8;
1694
 ; End of false expr.
1695
    %blend;
1696
T_5.41;
1697 6 marcelos
    %assign/vec4 v0x7fd8375c7360_0, 0;
1698
    %load/vec4 v0x7fd8375c7540_0;
1699 4 marcelos
    %flag_set/vec4 8;
1700
    %jmp/0 T_5.44, 8;
1701
    %pushi/vec4 0, 0, 32;
1702
    %jmp/1 T_5.45, 8;
1703
T_5.44 ; End of true expr.
1704 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1705 4 marcelos
    %flag_set/vec4 9;
1706
    %jmp/0 T_5.46, 9;
1707 6 marcelos
    %load/vec4 v0x7fd8375c7680_0;
1708 4 marcelos
    %jmp/1 T_5.47, 9;
1709
T_5.46 ; End of true expr.
1710 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1711 4 marcelos
    %parti/s 7, 0, 2;
1712
    %cmpi/e 35, 0, 7;
1713
    %flag_mov 10, 4;
1714
    %jmp/0 T_5.48, 10;
1715 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1716 4 marcelos
    %parti/s 1, 31, 6;
1717
    %flag_set/vec4 11;
1718
    %jmp/0 T_5.50, 11;
1719 6 marcelos
    %load/vec4 v0x7fd8375c4ac0_0;
1720 4 marcelos
    %parti/s 20, 12, 5;
1721
    %jmp/1 T_5.51, 11;
1722
T_5.50 ; End of true expr.
1723 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1724 4 marcelos
    %parti/s 20, 12, 5;
1725
    %jmp/0 T_5.51, 11;
1726
 ; End of false expr.
1727
    %blend;
1728
T_5.51;
1729 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1730 4 marcelos
    %parti/s 7, 25, 6;
1731
    %concat/vec4; draw_concat_vec4
1732 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1733 4 marcelos
    %parti/s 5, 7, 4;
1734
    %concat/vec4; draw_concat_vec4
1735
    %jmp/1 T_5.49, 10;
1736
T_5.48 ; End of true expr.
1737 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1738 4 marcelos
    %parti/s 7, 0, 2;
1739
    %cmpi/e 99, 0, 7;
1740
    %flag_mov 11, 4;
1741
    %jmp/0 T_5.52, 11;
1742 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1743 4 marcelos
    %parti/s 1, 31, 6;
1744
    %flag_set/vec4 12;
1745
    %jmp/0 T_5.54, 12;
1746 6 marcelos
    %load/vec4 v0x7fd8375c4ac0_0;
1747 4 marcelos
    %parti/s 19, 13, 5;
1748
    %jmp/1 T_5.55, 12;
1749
T_5.54 ; End of true expr.
1750 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1751 4 marcelos
    %parti/s 19, 13, 5;
1752
    %jmp/0 T_5.55, 12;
1753
 ; End of false expr.
1754
    %blend;
1755
T_5.55;
1756 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1757 4 marcelos
    %parti/s 1, 31, 6;
1758
    %concat/vec4; draw_concat_vec4
1759 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1760 4 marcelos
    %parti/s 1, 7, 4;
1761
    %concat/vec4; draw_concat_vec4
1762 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1763 4 marcelos
    %parti/s 6, 25, 6;
1764
    %concat/vec4; draw_concat_vec4
1765 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1766 4 marcelos
    %parti/s 4, 8, 5;
1767
    %concat/vec4; draw_concat_vec4
1768 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1769 4 marcelos
    %parti/s 1, 0, 2;
1770
    %concat/vec4; draw_concat_vec4
1771
    %jmp/1 T_5.53, 11;
1772
T_5.52 ; End of true expr.
1773 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1774 4 marcelos
    %parti/s 7, 0, 2;
1775
    %cmpi/e 111, 0, 7;
1776
    %flag_mov 12, 4;
1777
    %jmp/0 T_5.56, 12;
1778 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1779 4 marcelos
    %parti/s 1, 31, 6;
1780
    %flag_set/vec4 13;
1781
    %jmp/0 T_5.58, 13;
1782 6 marcelos
    %load/vec4 v0x7fd8375c4ac0_0;
1783 4 marcelos
    %parti/s 11, 21, 6;
1784
    %jmp/1 T_5.59, 13;
1785
T_5.58 ; End of true expr.
1786 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1787 4 marcelos
    %parti/s 11, 21, 6;
1788
    %jmp/0 T_5.59, 13;
1789
 ; End of false expr.
1790
    %blend;
1791
T_5.59;
1792 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1793 4 marcelos
    %parti/s 1, 31, 6;
1794
    %concat/vec4; draw_concat_vec4
1795 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1796 4 marcelos
    %parti/s 8, 12, 5;
1797
    %concat/vec4; draw_concat_vec4
1798 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1799 4 marcelos
    %parti/s 1, 20, 6;
1800
    %concat/vec4; draw_concat_vec4
1801 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1802 4 marcelos
    %parti/s 10, 21, 6;
1803
    %concat/vec4; draw_concat_vec4
1804 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1805 4 marcelos
    %parti/s 1, 0, 2;
1806
    %concat/vec4; draw_concat_vec4
1807
    %jmp/1 T_5.57, 12;
1808
T_5.56 ; End of true expr.
1809 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1810 4 marcelos
    %parti/s 7, 0, 2;
1811
    %cmpi/e 55, 0, 7;
1812
    %flag_mov 13, 4;
1813 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1814 4 marcelos
    %parti/s 7, 0, 2;
1815
    %cmpi/e 23, 0, 7;
1816
    %flag_or 4, 13;
1817
    %flag_mov 13, 4;
1818
    %jmp/0 T_5.60, 13;
1819 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1820 4 marcelos
    %parti/s 20, 12, 5;
1821 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1822 4 marcelos
    %parti/s 12, 0, 2;
1823
    %concat/vec4; draw_concat_vec4
1824
    %jmp/1 T_5.61, 13;
1825
T_5.60 ; End of true expr.
1826 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1827 4 marcelos
    %parti/s 1, 31, 6;
1828
    %flag_set/vec4 14;
1829
    %jmp/0 T_5.62, 14;
1830 6 marcelos
    %load/vec4 v0x7fd8375c4ac0_0;
1831 4 marcelos
    %parti/s 20, 12, 5;
1832
    %jmp/1 T_5.63, 14;
1833
T_5.62 ; End of true expr.
1834 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1835 4 marcelos
    %parti/s 20, 12, 5;
1836
    %jmp/0 T_5.63, 14;
1837
 ; End of false expr.
1838
    %blend;
1839
T_5.63;
1840 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1841 4 marcelos
    %parti/s 12, 20, 6;
1842
    %concat/vec4; draw_concat_vec4
1843
    %jmp/0 T_5.61, 13;
1844
 ; End of false expr.
1845
    %blend;
1846
T_5.61;
1847
    %jmp/0 T_5.57, 12;
1848
 ; End of false expr.
1849
    %blend;
1850
T_5.57;
1851
    %jmp/0 T_5.53, 11;
1852
 ; End of false expr.
1853
    %blend;
1854
T_5.53;
1855
    %jmp/0 T_5.49, 10;
1856
 ; End of false expr.
1857
    %blend;
1858
T_5.49;
1859
    %jmp/0 T_5.47, 9;
1860
 ; End of false expr.
1861
    %blend;
1862
T_5.47;
1863
    %jmp/0 T_5.45, 8;
1864
 ; End of false expr.
1865
    %blend;
1866
T_5.45;
1867 6 marcelos
    %assign/vec4 v0x7fd8375c7680_0, 0;
1868
    %load/vec4 v0x7fd8375c7540_0;
1869 4 marcelos
    %flag_set/vec4 8;
1870
    %jmp/0 T_5.64, 8;
1871
    %pushi/vec4 0, 0, 32;
1872
    %jmp/1 T_5.65, 8;
1873
T_5.64 ; End of true expr.
1874 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
1875 4 marcelos
    %flag_set/vec4 9;
1876
    %jmp/0 T_5.66, 9;
1877 6 marcelos
    %load/vec4 v0x7fd8375c6140_0;
1878 4 marcelos
    %jmp/1 T_5.67, 9;
1879
T_5.66 ; End of true expr.
1880 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1881 4 marcelos
    %parti/s 7, 0, 2;
1882
    %cmpi/e 35, 0, 7;
1883
    %flag_mov 10, 4;
1884
    %jmp/0 T_5.68, 10;
1885 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1886 4 marcelos
    %parti/s 20, 12, 5;
1887 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1888 4 marcelos
    %parti/s 7, 25, 6;
1889
    %concat/vec4; draw_concat_vec4
1890 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1891 4 marcelos
    %parti/s 5, 7, 4;
1892
    %concat/vec4; draw_concat_vec4
1893
    %jmp/1 T_5.69, 10;
1894
T_5.68 ; End of true expr.
1895 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1896 4 marcelos
    %parti/s 7, 0, 2;
1897
    %cmpi/e 99, 0, 7;
1898
    %flag_mov 11, 4;
1899
    %jmp/0 T_5.70, 11;
1900 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1901 4 marcelos
    %parti/s 19, 13, 5;
1902 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1903 4 marcelos
    %parti/s 1, 31, 6;
1904
    %concat/vec4; draw_concat_vec4
1905 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1906 4 marcelos
    %parti/s 1, 7, 4;
1907
    %concat/vec4; draw_concat_vec4
1908 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1909 4 marcelos
    %parti/s 6, 25, 6;
1910
    %concat/vec4; draw_concat_vec4
1911 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1912 4 marcelos
    %parti/s 4, 8, 5;
1913
    %concat/vec4; draw_concat_vec4
1914 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1915 4 marcelos
    %parti/s 1, 0, 2;
1916
    %concat/vec4; draw_concat_vec4
1917
    %jmp/1 T_5.71, 11;
1918
T_5.70 ; End of true expr.
1919 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1920 4 marcelos
    %parti/s 7, 0, 2;
1921
    %cmpi/e 111, 0, 7;
1922
    %flag_mov 12, 4;
1923
    %jmp/0 T_5.72, 12;
1924 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1925 4 marcelos
    %parti/s 11, 21, 6;
1926 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1927 4 marcelos
    %parti/s 1, 31, 6;
1928
    %concat/vec4; draw_concat_vec4
1929 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1930 4 marcelos
    %parti/s 8, 12, 5;
1931
    %concat/vec4; draw_concat_vec4
1932 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1933 4 marcelos
    %parti/s 1, 20, 6;
1934
    %concat/vec4; draw_concat_vec4
1935 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1936 4 marcelos
    %parti/s 10, 21, 6;
1937
    %concat/vec4; draw_concat_vec4
1938 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1939 4 marcelos
    %parti/s 1, 0, 2;
1940
    %concat/vec4; draw_concat_vec4
1941
    %jmp/1 T_5.73, 12;
1942
T_5.72 ; End of true expr.
1943 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1944 4 marcelos
    %parti/s 7, 0, 2;
1945
    %cmpi/e 55, 0, 7;
1946
    %flag_mov 13, 4;
1947 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1948 4 marcelos
    %parti/s 7, 0, 2;
1949
    %cmpi/e 23, 0, 7;
1950
    %flag_or 4, 13;
1951
    %flag_mov 13, 4;
1952
    %jmp/0 T_5.74, 13;
1953 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1954 4 marcelos
    %parti/s 20, 12, 5;
1955 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1956 4 marcelos
    %parti/s 12, 0, 2;
1957
    %concat/vec4; draw_concat_vec4
1958
    %jmp/1 T_5.75, 13;
1959
T_5.74 ; End of true expr.
1960 6 marcelos
    %load/vec4 v0x7fd83752d000_0;
1961 4 marcelos
    %parti/s 20, 12, 5;
1962 6 marcelos
    %load/vec4 v0x7fd8375c5810_0;
1963 4 marcelos
    %parti/s 12, 20, 6;
1964
    %concat/vec4; draw_concat_vec4
1965
    %jmp/0 T_5.75, 13;
1966
 ; End of false expr.
1967
    %blend;
1968
T_5.75;
1969
    %jmp/0 T_5.73, 12;
1970
 ; End of false expr.
1971
    %blend;
1972
T_5.73;
1973
    %jmp/0 T_5.71, 11;
1974
 ; End of false expr.
1975
    %blend;
1976
T_5.71;
1977
    %jmp/0 T_5.69, 10;
1978
 ; End of false expr.
1979
    %blend;
1980
T_5.69;
1981
    %jmp/0 T_5.67, 9;
1982
 ; End of false expr.
1983
    %blend;
1984
T_5.67;
1985
    %jmp/0 T_5.65, 8;
1986
 ; End of false expr.
1987
    %blend;
1988
T_5.65;
1989 6 marcelos
    %assign/vec4 v0x7fd8375c6140_0, 0;
1990 4 marcelos
    %jmp T_5;
1991
    .thread T_5;
1992 6 marcelos
    .scope S_0x7fd837572930;
1993 4 marcelos
T_6 ;
1994 6 marcelos
    %wait E_0x7fd837581470;
1995
    %load/vec4 v0x7fd8375c7540_0;
1996 4 marcelos
    %nor/r;
1997
    %flag_set/vec4 8;
1998
    %jmp/0xz  T_6.0, 8;
1999 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2000 4 marcelos
    %addi 1, 0, 32;
2001 6 marcelos
    %store/vec4 v0x7fd8375d0480_0, 0, 32;
2002
    %load/vec4 v0x7fd8375c56e0_0;
2003 4 marcelos
    %flag_set/vec4 8;
2004
    %jmp/0xz  T_6.2, 8;
2005 6 marcelos
    %load/vec4 v0x7fd8375c6a30_0;
2006 4 marcelos
    %flag_set/vec4 8;
2007
    %jmp/0xz  T_6.4, 8;
2008 6 marcelos
    %load/vec4 v0x7fd8375d07f0_0;
2009 4 marcelos
    %addi 1, 0, 32;
2010 6 marcelos
    %store/vec4 v0x7fd8375d07f0_0, 0, 32;
2011 4 marcelos
    %jmp T_6.5;
2012
T_6.4 ;
2013 6 marcelos
    %load/vec4 v0x7fd8375c5b50_0;
2014 4 marcelos
    %flag_set/vec4 8;
2015
    %jmp/0xz  T_6.6, 8;
2016 6 marcelos
    %load/vec4 v0x7fd8375d0690_0;
2017 4 marcelos
    %addi 1, 0, 32;
2018 6 marcelos
    %store/vec4 v0x7fd8375d0690_0, 0, 32;
2019 4 marcelos
    %jmp T_6.7;
2020
T_6.6 ;
2021 6 marcelos
    %load/vec4 v0x7fd8375d05e0_0;
2022 4 marcelos
    %addi 1, 0, 32;
2023 6 marcelos
    %store/vec4 v0x7fd8375d05e0_0, 0, 32;
2024 4 marcelos
T_6.7 ;
2025
T_6.5 ;
2026
    %jmp T_6.3;
2027
T_6.2 ;
2028 6 marcelos
    %load/vec4 v0x7fd8375c5550_0;
2029 4 marcelos
    %cmpi/ne 0, 0, 2;
2030
    %jmp/0xz  T_6.8, 4;
2031 6 marcelos
    %load/vec4 v0x7fd8375d0530_0;
2032 4 marcelos
    %addi 1, 0, 32;
2033 6 marcelos
    %store/vec4 v0x7fd8375d0530_0, 0, 32;
2034 4 marcelos
    %jmp T_6.9;
2035
T_6.8 ;
2036 6 marcelos
    %load/vec4 v0x7fd8375d0740_0;
2037 4 marcelos
    %addi 1, 0, 32;
2038 6 marcelos
    %store/vec4 v0x7fd8375d0740_0, 0, 32;
2039 4 marcelos
T_6.9 ;
2040
T_6.3 ;
2041 6 marcelos
    %load/vec4 v0x7fd8375c54b0_0;
2042 4 marcelos
    %flag_set/vec4 8;
2043
    %jmp/0xz  T_6.10, 8;
2044 6 marcelos
    %vpi_call 4 381 "$display", "****************************************************************************" {0 0 0};
2045
    %vpi_call 4 382 "$display", "DarkRISCV Pipeline Report:" {0 0 0};
2046
    %vpi_call 4 383 "$display", "core0  clocks: %0d", v0x7fd8375d0480_0 {0 0 0};
2047 4 marcelos
    %pushi/real 1677721600, 4072; load=100.000
2048 6 marcelos
    %load/vec4 v0x7fd8375d0740_0;
2049 4 marcelos
    %ix/vec4/s 4;
2050
    %cvt/rs 4;
2051
    %mul/wr;
2052 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2053 4 marcelos
    %ix/vec4/s 4;
2054
    %cvt/rs 4;
2055
    %div/wr;
2056 6 marcelos
    %vpi_call 4 385 "$display", "core0: running %0d%%", W<0,r> {0 1 0};
2057 4 marcelos
    %pushi/real 1677721600, 4072; load=100.000
2058 6 marcelos
    %load/vec4 v0x7fd8375d0690_0;
2059
    %load/vec4 v0x7fd8375d07f0_0;
2060 4 marcelos
    %add;
2061
    %ix/vec4/s 4;
2062
    %cvt/rs 4;
2063
    %mul/wr;
2064 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2065 4 marcelos
    %ix/vec4/s 4;
2066
    %cvt/rs 4;
2067
    %div/wr;
2068
    %pushi/real 1677721600, 4072; load=100.000
2069 6 marcelos
    %load/vec4 v0x7fd8375d0690_0;
2070 4 marcelos
    %ix/vec4/s 4;
2071
    %cvt/rs 4;
2072
    %mul/wr;
2073 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2074 4 marcelos
    %ix/vec4/s 4;
2075
    %cvt/rs 4;
2076
    %div/wr;
2077
    %pushi/real 1677721600, 4072; load=100.000
2078 6 marcelos
    %load/vec4 v0x7fd8375d07f0_0;
2079 4 marcelos
    %ix/vec4/s 4;
2080
    %cvt/rs 4;
2081
    %mul/wr;
2082 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2083 4 marcelos
    %ix/vec4/s 4;
2084
    %cvt/rs 4;
2085
    %div/wr;
2086
    %pushi/real 1677721600, 4072; load=100.000
2087 6 marcelos
    %load/vec4 v0x7fd8375d05e0_0;
2088 4 marcelos
    %ix/vec4/s 4;
2089
    %cvt/rs 4;
2090
    %mul/wr;
2091 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2092 4 marcelos
    %ix/vec4/s 4;
2093
    %cvt/rs 4;
2094
    %div/wr;
2095 6 marcelos
    %vpi_call 4 391 "$display", "core0:  halted %0d%% (%0d%% load, %0d%% store, %0d%% busy)", W<3,r>, W<2,r>, W<1,r>, W<0,r> {0 4 0};
2096 4 marcelos
    %pushi/real 1677721600, 4072; load=100.000
2097 6 marcelos
    %load/vec4 v0x7fd8375d0530_0;
2098 4 marcelos
    %ix/vec4/s 4;
2099
    %cvt/rs 4;
2100
    %mul/wr;
2101 6 marcelos
    %load/vec4 v0x7fd8375d0480_0;
2102 4 marcelos
    %ix/vec4/s 4;
2103
    %cvt/rs 4;
2104
    %div/wr;
2105 6 marcelos
    %vpi_call 4 397 "$display", "core0: stalled %0d%%", W<0,r> {0 1 0};
2106
    %vpi_call 4 401 "$display", "****************************************************************************" {0 0 0};
2107
    %vpi_call 4 402 "$finish" {0 0 0};
2108 4 marcelos
T_6.10 ;
2109
T_6.0 ;
2110
    %jmp T_6;
2111
    .thread T_6;
2112 6 marcelos
    .scope S_0x7fd837572930;
2113 4 marcelos
T_7 ;
2114 6 marcelos
    %wait E_0x7fd837581470;
2115
    %load/vec4 v0x7fd8375c6440_0;
2116 4 marcelos
    %flag_set/vec4 8;
2117
    %jmp/0 T_7.0, 8;
2118
    %pushi/vec4 15, 0, 4;
2119
    %jmp/1 T_7.1, 8;
2120
T_7.0 ; End of true expr.
2121 6 marcelos
    %load/vec4 v0x7fd8375c64d0_0;
2122 4 marcelos
    %cmpi/ne 0, 0, 4;
2123
    %flag_mov 9, 4;
2124
    %jmp/0 T_7.2, 9;
2125 6 marcelos
    %load/vec4 v0x7fd8375c64d0_0;
2126 4 marcelos
    %subi 1, 0, 4;
2127
    %jmp/1 T_7.3, 9;
2128
T_7.2 ; End of true expr.
2129
    %pushi/vec4 0, 0, 4;
2130
    %jmp/0 T_7.3, 9;
2131
 ; End of false expr.
2132
    %blend;
2133
T_7.3;
2134
    %jmp/0 T_7.1, 8;
2135
 ; End of false expr.
2136
    %blend;
2137
T_7.1;
2138 6 marcelos
    %assign/vec4 v0x7fd8375c64d0_0, 0;
2139
    %load/vec4 v0x7fd8375c64d0_0;
2140 4 marcelos
    %or/r;
2141 6 marcelos
    %assign/vec4 v0x7fd8375c7540_0, 0;
2142
    %load/vec4 v0x7fd8375c7540_0;
2143 4 marcelos
    %flag_set/vec4 8;
2144
    %jmp/0 T_7.4, 8;
2145
    %pushi/vec4 2, 0, 3;
2146
    %jmp/1 T_7.5, 8;
2147
T_7.4 ; End of true expr.
2148 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
2149 4 marcelos
    %flag_set/vec4 9;
2150
    %jmp/0 T_7.6, 9;
2151 6 marcelos
    %load/vec4 v0x7fd8375c5550_0;
2152 4 marcelos
    %pad/u 3;
2153
    %jmp/1 T_7.7, 9;
2154
T_7.6 ; End of true expr.
2155 6 marcelos
    %load/vec4 v0x7fd8375c5550_0;
2156 4 marcelos
    %cmpi/ne 0, 0, 2;
2157
    %flag_mov 10, 4;
2158
    %jmp/0 T_7.8, 10;
2159 6 marcelos
    %load/vec4 v0x7fd8375c5550_0;
2160 4 marcelos
    %pad/u 3;
2161
    %subi 1, 0, 3;
2162
    %jmp/1 T_7.9, 10;
2163
T_7.8 ; End of true expr.
2164 6 marcelos
    %load/vec4 v0x7fd8375c58c0_0;
2165 4 marcelos
    %flag_set/vec4 11;
2166 6 marcelos
    %load/vec4 v0x7fd8375c5960_0;
2167 4 marcelos
    %flag_set/vec4 12;
2168
    %flag_or 12, 11;
2169 6 marcelos
    %load/vec4 v0x7fd8375c4ca0_0;
2170 4 marcelos
    %flag_set/vec4 11;
2171
    %flag_or 11, 12;
2172
    %jmp/0 T_7.10, 11;
2173
    %pushi/vec4 2, 0, 3;
2174
    %jmp/1 T_7.11, 11;
2175
T_7.10 ; End of true expr.
2176
    %pushi/vec4 0, 0, 3;
2177
    %jmp/0 T_7.11, 11;
2178
 ; End of false expr.
2179
    %blend;
2180
T_7.11;
2181
    %jmp/0 T_7.9, 10;
2182
 ; End of false expr.
2183
    %blend;
2184
T_7.9;
2185
    %jmp/0 T_7.7, 9;
2186
 ; End of false expr.
2187
    %blend;
2188
T_7.7;
2189
    %jmp/0 T_7.5, 8;
2190
 ; End of false expr.
2191
    %blend;
2192
T_7.5;
2193
    %pad/u 2;
2194 6 marcelos
    %assign/vec4 v0x7fd8375c5550_0, 0;
2195
    %load/vec4 v0x7fd8375c7540_0;
2196 4 marcelos
    %flag_set/vec4 8;
2197
    %jmp/0 T_7.12, 8;
2198 6 marcelos
    %load/vec4 v0x7fd8375c64d0_0;
2199 4 marcelos
    %pad/u 32;
2200
    %cmpi/e 2, 0, 32;
2201
    %flag_mov 9, 4;
2202
    %jmp/0 T_7.14, 9;
2203
    %pushi/vec4 8192, 0, 32;
2204
    %jmp/1 T_7.15, 9;
2205
T_7.14 ; End of true expr.
2206
    %pushi/vec4 0, 0, 32;
2207
    %jmp/0 T_7.15, 9;
2208
 ; End of false expr.
2209
    %blend;
2210
T_7.15;
2211
    %jmp/1 T_7.13, 8;
2212
T_7.12 ; End of true expr.
2213 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
2214 4 marcelos
    %flag_set/vec4 9;
2215
    %jmp/0 T_7.16, 9;
2216 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2217 4 marcelos
    %pad/u 6;
2218
    %ix/vec4 4;
2219 6 marcelos
    %load/vec4a v0x7fd8375c6320, 4;
2220 4 marcelos
    %jmp/1 T_7.17, 9;
2221
T_7.16 ; End of true expr.
2222 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2223 4 marcelos
    %nor/r;
2224
    %flag_set/vec4 10;
2225
    %jmp/0 T_7.18, 10;
2226
    %pushi/vec4 0, 0, 32;
2227
    %jmp/1 T_7.19, 10;
2228
T_7.18 ; End of true expr.
2229 6 marcelos
    %load/vec4 v0x7fd8375c4b60_0;
2230 4 marcelos
    %flag_set/vec4 11;
2231
    %jmp/0 T_7.20, 11;
2232 6 marcelos
    %load/vec4 v0x7fd8375c6090_0;
2233
    %load/vec4 v0x7fd8375c6b80_0;
2234 4 marcelos
    %add;
2235
    %jmp/1 T_7.21, 11;
2236
T_7.20 ; End of true expr.
2237 6 marcelos
    %load/vec4 v0x7fd8375c58c0_0;
2238 4 marcelos
    %flag_set/vec4 12;
2239 6 marcelos
    %load/vec4 v0x7fd8375c5960_0;
2240 4 marcelos
    %flag_set/vec4 13;
2241
    %flag_or 13, 12;
2242
    %jmp/0 T_7.22, 13;
2243 6 marcelos
    %load/vec4 v0x7fd8375c5e80_0;
2244 4 marcelos
    %jmp/1 T_7.23, 13;
2245
T_7.22 ; End of true expr.
2246 6 marcelos
    %load/vec4 v0x7fd8375c5ca0_0;
2247 4 marcelos
    %flag_set/vec4 12;
2248
    %jmp/0 T_7.24, 12;
2249 6 marcelos
    %load/vec4 v0x7fd8375c6b80_0;
2250 4 marcelos
    %jmp/1 T_7.25, 12;
2251
T_7.24 ; End of true expr.
2252 6 marcelos
    %load/vec4 v0x7fd8375c5b50_0;
2253 4 marcelos
    %flag_set/vec4 14;
2254
    %jmp/0 T_7.26, 14;
2255 6 marcelos
    %load/vec4 v0x7fd8375c5bf0_0;
2256 4 marcelos
    %jmp/1 T_7.27, 14;
2257
T_7.26 ; End of true expr.
2258 6 marcelos
    %load/vec4 v0x7fd8375c5de0_0;
2259 4 marcelos
    %flag_set/vec4 15;
2260 6 marcelos
    %load/vec4 v0x7fd8375c5600_0;
2261 4 marcelos
    %flag_set/vec4 16;
2262
    %flag_or 16, 15;
2263
    %jmp/0 T_7.28, 16;
2264 6 marcelos
    %load/vec4 v0x7fd8375c6570_0;
2265 4 marcelos
    %jmp/1 T_7.29, 16;
2266
T_7.28 ; End of true expr.
2267 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2268 4 marcelos
    %pad/u 6;
2269
    %ix/vec4 4;
2270 6 marcelos
    %load/vec4a v0x7fd8375c6320, 4;
2271 4 marcelos
    %jmp/0 T_7.29, 16;
2272
 ; End of false expr.
2273
    %blend;
2274
T_7.29;
2275
    %jmp/0 T_7.27, 14;
2276
 ; End of false expr.
2277
    %blend;
2278
T_7.27;
2279
    %jmp/0 T_7.25, 12;
2280
 ; End of false expr.
2281
    %blend;
2282
T_7.25;
2283
    %jmp/0 T_7.23, 13;
2284
 ; End of false expr.
2285
    %blend;
2286
T_7.23;
2287
    %jmp/0 T_7.21, 11;
2288
 ; End of false expr.
2289
    %blend;
2290
T_7.21;
2291
    %jmp/0 T_7.19, 10;
2292
 ; End of false expr.
2293
    %blend;
2294
T_7.19;
2295
    %jmp/0 T_7.17, 9;
2296
 ; End of false expr.
2297
    %blend;
2298
T_7.17;
2299
    %jmp/0 T_7.13, 8;
2300
 ; End of false expr.
2301
    %blend;
2302
T_7.13;
2303 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2304 4 marcelos
    %pad/u 6;
2305
    %ix/vec4 3;
2306
    %ix/load 4, 0, 0; Constant delay
2307 6 marcelos
    %assign/vec4/a/d v0x7fd8375c6320, 0, 4;
2308
    %load/vec4 v0x7fd8375c7540_0;
2309 4 marcelos
    %flag_set/vec4 8;
2310
    %jmp/0 T_7.30, 8;
2311 6 marcelos
    %load/vec4 v0x7fd8375c64d0_0;
2312 4 marcelos
    %pad/u 32;
2313
    %cmpi/e 2, 0, 32;
2314
    %flag_mov 9, 4;
2315
    %jmp/0 T_7.32, 9;
2316
    %pushi/vec4 8192, 0, 32;
2317
    %jmp/1 T_7.33, 9;
2318
T_7.32 ; End of true expr.
2319
    %pushi/vec4 0, 0, 32;
2320
    %jmp/0 T_7.33, 9;
2321
 ; End of false expr.
2322
    %blend;
2323
T_7.33;
2324
    %jmp/1 T_7.31, 8;
2325
T_7.30 ; End of true expr.
2326 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
2327 4 marcelos
    %flag_set/vec4 9;
2328
    %jmp/0 T_7.34, 9;
2329 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2330 4 marcelos
    %pad/u 6;
2331
    %ix/vec4 4;
2332 6 marcelos
    %load/vec4a v0x7fd8375c63b0, 4;
2333 4 marcelos
    %jmp/1 T_7.35, 9;
2334
T_7.34 ; End of true expr.
2335 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2336 4 marcelos
    %nor/r;
2337
    %flag_set/vec4 10;
2338
    %jmp/0 T_7.36, 10;
2339
    %pushi/vec4 0, 0, 32;
2340
    %jmp/1 T_7.37, 10;
2341
T_7.36 ; End of true expr.
2342 6 marcelos
    %load/vec4 v0x7fd8375c4b60_0;
2343 4 marcelos
    %flag_set/vec4 11;
2344
    %jmp/0 T_7.38, 11;
2345 6 marcelos
    %load/vec4 v0x7fd8375c6090_0;
2346
    %load/vec4 v0x7fd8375c6b80_0;
2347 4 marcelos
    %add;
2348
    %jmp/1 T_7.39, 11;
2349
T_7.38 ; End of true expr.
2350 6 marcelos
    %load/vec4 v0x7fd8375c58c0_0;
2351 4 marcelos
    %flag_set/vec4 12;
2352 6 marcelos
    %load/vec4 v0x7fd8375c5960_0;
2353 4 marcelos
    %flag_set/vec4 13;
2354
    %flag_or 13, 12;
2355
    %jmp/0 T_7.40, 13;
2356 6 marcelos
    %load/vec4 v0x7fd8375c5e80_0;
2357 4 marcelos
    %jmp/1 T_7.41, 13;
2358
T_7.40 ; End of true expr.
2359 6 marcelos
    %load/vec4 v0x7fd8375c5ca0_0;
2360 4 marcelos
    %flag_set/vec4 12;
2361
    %jmp/0 T_7.42, 12;
2362 6 marcelos
    %load/vec4 v0x7fd8375c6b80_0;
2363 4 marcelos
    %jmp/1 T_7.43, 12;
2364
T_7.42 ; End of true expr.
2365 6 marcelos
    %load/vec4 v0x7fd8375c5b50_0;
2366 4 marcelos
    %flag_set/vec4 14;
2367
    %jmp/0 T_7.44, 14;
2368 6 marcelos
    %load/vec4 v0x7fd8375c5bf0_0;
2369 4 marcelos
    %jmp/1 T_7.45, 14;
2370
T_7.44 ; End of true expr.
2371 6 marcelos
    %load/vec4 v0x7fd8375c5de0_0;
2372 4 marcelos
    %flag_set/vec4 15;
2373 6 marcelos
    %load/vec4 v0x7fd8375c5600_0;
2374 4 marcelos
    %flag_set/vec4 16;
2375
    %flag_or 16, 15;
2376
    %jmp/0 T_7.46, 16;
2377 6 marcelos
    %load/vec4 v0x7fd8375c6570_0;
2378 4 marcelos
    %jmp/1 T_7.47, 16;
2379
T_7.46 ; End of true expr.
2380 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2381 4 marcelos
    %pad/u 6;
2382
    %ix/vec4 4;
2383 6 marcelos
    %load/vec4a v0x7fd8375c63b0, 4;
2384 4 marcelos
    %jmp/0 T_7.47, 16;
2385
 ; End of false expr.
2386
    %blend;
2387
T_7.47;
2388
    %jmp/0 T_7.45, 14;
2389
 ; End of false expr.
2390
    %blend;
2391
T_7.45;
2392
    %jmp/0 T_7.43, 12;
2393
 ; End of false expr.
2394
    %blend;
2395
T_7.43;
2396
    %jmp/0 T_7.41, 13;
2397
 ; End of false expr.
2398
    %blend;
2399
T_7.41;
2400
    %jmp/0 T_7.39, 11;
2401
 ; End of false expr.
2402
    %blend;
2403
T_7.39;
2404
    %jmp/0 T_7.37, 10;
2405
 ; End of false expr.
2406
    %blend;
2407
T_7.37;
2408
    %jmp/0 T_7.35, 9;
2409
 ; End of false expr.
2410
    %blend;
2411
T_7.35;
2412
    %jmp/0 T_7.31, 8;
2413
 ; End of false expr.
2414
    %blend;
2415
T_7.31;
2416 6 marcelos
    %load/vec4 v0x7fd8375c52a0_0;
2417 4 marcelos
    %pad/u 6;
2418
    %ix/vec4 3;
2419
    %ix/load 4, 0, 0; Constant delay
2420 6 marcelos
    %assign/vec4/a/d v0x7fd8375c63b0, 0, 4;
2421
    %load/vec4 v0x7fd8375c56e0_0;
2422 4 marcelos
    %flag_set/vec4 8;
2423
    %jmp/0 T_7.48, 8;
2424 6 marcelos
    %load/vec4 v0x7fd8375c5e80_0;
2425 4 marcelos
    %jmp/1 T_7.49, 8;
2426
T_7.48 ; End of true expr.
2427 6 marcelos
    %load/vec4 v0x7fd8375c5f30_0;
2428 4 marcelos
    %jmp/0 T_7.49, 8;
2429
 ; End of false expr.
2430
    %blend;
2431
T_7.49;
2432 6 marcelos
    %assign/vec4 v0x7fd8375c5e80_0, 0;
2433
    %load/vec4 v0x7fd8375c7540_0;
2434 4 marcelos
    %flag_set/vec4 8;
2435
    %jmp/0 T_7.50, 8;
2436
    %pushi/vec4 0, 0, 32;
2437
    %jmp/1 T_7.51, 8;
2438
T_7.50 ; End of true expr.
2439 6 marcelos
    %load/vec4 v0x7fd8375c56e0_0;
2440 4 marcelos
    %flag_set/vec4 9;
2441
    %jmp/0 T_7.52, 9;
2442 6 marcelos
    %load/vec4 v0x7fd8375c5f30_0;
2443 4 marcelos
    %jmp/1 T_7.53, 9;
2444
T_7.52 ; End of true expr.
2445 6 marcelos
    %load/vec4 v0x7fd8375c5a00_0;
2446 4 marcelos
    %flag_set/vec4 10;
2447
    %jmp/0 T_7.54, 10;
2448 6 marcelos
    %load/vec4 v0x7fd8375c5aa0_0;
2449 4 marcelos
    %jmp/1 T_7.55, 10;
2450
T_7.54 ; End of true expr.
2451 6 marcelos
    %load/vec4 v0x7fd8375c5f30_0;
2452 4 marcelos
    %addi 4, 0, 32;
2453
    %jmp/0 T_7.55, 10;
2454
 ; End of false expr.
2455
    %blend;
2456
T_7.55;
2457
    %jmp/0 T_7.53, 9;
2458
 ; End of false expr.
2459
    %blend;
2460
T_7.53;
2461
    %jmp/0 T_7.51, 8;
2462
 ; End of false expr.
2463
    %blend;
2464
T_7.51;
2465 6 marcelos
    %assign/vec4 v0x7fd8375c5f30_0, 0;
2466
    %load/vec4 v0x7fd8375c56e0_0;
2467 4 marcelos
    %flag_set/vec4 8;
2468
    %jmp/0 T_7.56, 8;
2469 6 marcelos
    %load/vec4 v0x7fd8375c6090_0;
2470 4 marcelos
    %jmp/1 T_7.57, 8;
2471
T_7.56 ; End of true expr.
2472 6 marcelos
    %load/vec4 v0x7fd8375c5e80_0;
2473 4 marcelos
    %jmp/0 T_7.57, 8;
2474
 ; End of false expr.
2475
    %blend;
2476
T_7.57;
2477 6 marcelos
    %assign/vec4 v0x7fd8375c6090_0, 0;
2478 4 marcelos
    %jmp T_7;
2479
    .thread T_7;
2480 6 marcelos
    .scope S_0x7fd837573a70;
2481 4 marcelos
T_8 ;
2482
    %pushi/vec4 255, 0, 8;
2483 6 marcelos
    %store/vec4 v0x7fd8375d4470_0, 0, 8;
2484 4 marcelos
    %pushi/vec4 0, 0, 16;
2485 6 marcelos
    %store/vec4 v0x7fd8375d3d30_0, 0, 16;
2486 4 marcelos
    %pushi/vec4 0, 0, 16;
2487 6 marcelos
    %store/vec4 v0x7fd8375d4680_0, 0, 16;
2488 4 marcelos
    %pushi/vec4 0, 0, 32;
2489 6 marcelos
    %store/vec4 v0x7fd8375d3f00_0, 0, 32;
2490 4 marcelos
    %pushi/vec4 0, 0, 1;
2491 6 marcelos
    %store/vec4 v0x7fd8375d3e50_0, 0, 1;
2492 4 marcelos
    %pushi/vec4 0, 0, 2;
2493 6 marcelos
    %store/vec4 v0x7fd8375d3780_0, 0, 2;
2494 4 marcelos
    %pushi/vec4 0, 0, 8;
2495 6 marcelos
    %store/vec4 v0x7fd8375d43c0_0, 0, 8;
2496 4 marcelos
    %pushi/vec4 0, 0, 8;
2497 6 marcelos
    %store/vec4 v0x7fd8375d3fe0_0, 0, 8;
2498 4 marcelos
    %pushi/vec4 0, 0, 32;
2499 6 marcelos
    %store/vec4 v0x7fd8375d4d10_0, 0, 32;
2500 4 marcelos
    %pushi/vec4 0, 0, 1;
2501 6 marcelos
    %store/vec4 v0x7fd8375d5400_0, 0, 1;
2502 4 marcelos
    %end;
2503
    .thread T_8;
2504 6 marcelos
    .scope S_0x7fd837573a70;
2505 4 marcelos
T_9 ;
2506 6 marcelos
    %wait E_0x7fd83757e300;
2507
    %load/vec4 v0x7fd8375d5360_0;
2508 4 marcelos
    %pad/u 32;
2509
    %cmpi/e 1, 0, 32;
2510
    %flag_mov 8, 4;
2511
    %jmp/0 T_9.0, 8;
2512
    %pushi/vec4 255, 0, 8;
2513
    %jmp/1 T_9.1, 8;
2514
T_9.0 ; End of true expr.
2515 6 marcelos
    %load/vec4 v0x7fd8375d4470_0;
2516 4 marcelos
    %parti/s 1, 7, 4;
2517
    %flag_set/vec4 9;
2518
    %jmp/0 T_9.2, 9;
2519 6 marcelos
    %load/vec4 v0x7fd8375d4470_0;
2520 4 marcelos
    %subi 1, 0, 8;
2521
    %jmp/1 T_9.3, 9;
2522
T_9.2 ; End of true expr.
2523
    %pushi/vec4 0, 0, 8;
2524
    %jmp/0 T_9.3, 9;
2525
 ; End of false expr.
2526
    %blend;
2527
T_9.3;
2528
    %jmp/0 T_9.1, 8;
2529
 ; End of false expr.
2530
    %blend;
2531
T_9.1;
2532 6 marcelos
    %assign/vec4 v0x7fd8375d4470_0, 0;
2533 4 marcelos
    %jmp T_9;
2534
    .thread T_9;
2535 6 marcelos
    .scope S_0x7fd837573a70;
2536 4 marcelos
T_10 ;
2537
    %pushi/vec4 0, 0, 32;
2538 6 marcelos
    %store/vec4 v0x7fd8375dc390_0, 0, 32;
2539 4 marcelos
T_10.0 ;
2540 6 marcelos
    %load/vec4 v0x7fd8375dc390_0;
2541 4 marcelos
    %cmpi/ne 2048, 0, 32;
2542
    %jmp/0xz T_10.1, 4;
2543
    %pushi/vec4 0, 0, 32;
2544 6 marcelos
    %ix/getv/s 4, v0x7fd8375dc390_0;
2545
    %store/vec4a v0x7fd8375d4730, 4, 0;
2546
    %load/vec4 v0x7fd8375dc390_0;
2547 4 marcelos
    %addi 1, 0, 32;
2548 6 marcelos
    %store/vec4 v0x7fd8375dc390_0, 0, 32;
2549 4 marcelos
    %jmp T_10.0;
2550
T_10.1 ;
2551 6 marcelos
    %vpi_call 3 242 "$readmemh", "../src/darksocv.mem", v0x7fd8375d4730 {0 0 0};
2552 4 marcelos
    %end;
2553
    .thread T_10;
2554 6 marcelos
    .scope S_0x7fd837573a70;
2555 4 marcelos
T_11 ;
2556 6 marcelos
    %wait E_0x7fd837581470;
2557
    %load/vec4 v0x7fd8375d3dc0_0;
2558 4 marcelos
    %flag_set/vec4 8;
2559
    %jmp/0xz  T_11.0, 8;
2560 6 marcelos
    %load/vec4 v0x7fd8375d49f0_0;
2561
    %assign/vec4 v0x7fd8375d3f00_0, 0;
2562 4 marcelos
T_11.0 ;
2563 6 marcelos
    %load/vec4 v0x7fd8375d3dc0_0;
2564
    %assign/vec4 v0x7fd8375d3e50_0, 0;
2565 4 marcelos
    %jmp T_11;
2566
    .thread T_11;
2567 6 marcelos
    .scope S_0x7fd837573a70;
2568 4 marcelos
T_12 ;
2569 6 marcelos
    %wait E_0x7fd837581470;
2570
    %load/vec4 v0x7fd8375d4090_0;
2571 4 marcelos
    %parti/s 11, 2, 3;
2572
    %pad/u 13;
2573
    %ix/vec4 4;
2574 6 marcelos
    %load/vec4a v0x7fd8375d4730, 4;
2575
    %assign/vec4 v0x7fd8375d49f0_0, 0;
2576 4 marcelos
    %jmp T_12;
2577
    .thread T_12;
2578 6 marcelos
    .scope S_0x7fd837573a70;
2579 4 marcelos
T_13 ;
2580 6 marcelos
    %wait E_0x7fd837581470;
2581
    %load/vec4 v0x7fd8375d4920_0;
2582 4 marcelos
    %flag_set/vec4 8;
2583
    %jmp/0 T_13.0, 8;
2584
    %pushi/vec4 0, 0, 2;
2585
    %jmp/1 T_13.1, 8;
2586
T_13.0 ; End of true expr.
2587 6 marcelos
    %load/vec4 v0x7fd8375d3780_0;
2588 4 marcelos
    %cmpi/ne 0, 0, 2;
2589
    %flag_mov 9, 4;
2590
    %jmp/0 T_13.2, 9;
2591 6 marcelos
    %load/vec4 v0x7fd8375d3780_0;
2592 4 marcelos
    %subi 1, 0, 2;
2593
    %jmp/1 T_13.3, 9;
2594
T_13.2 ; End of true expr.
2595 6 marcelos
    %load/vec4 v0x7fd8375d4880_0;
2596 4 marcelos
    %flag_set/vec4 10;
2597
    %jmp/0 T_13.4, 10;
2598
    %pushi/vec4 1, 0, 2;
2599
    %jmp/1 T_13.5, 10;
2600
T_13.4 ; End of true expr.
2601
    %pushi/vec4 0, 0, 2;
2602
    %jmp/0 T_13.5, 10;
2603
 ; End of false expr.
2604
    %blend;
2605
T_13.5;
2606
    %jmp/0 T_13.3, 9;
2607
 ; End of false expr.
2608
    %blend;
2609
T_13.3;
2610
    %jmp/0 T_13.1, 8;
2611
 ; End of false expr.
2612
    %blend;
2613
T_13.1;
2614 6 marcelos
    %assign/vec4 v0x7fd8375d3780_0, 0;
2615 4 marcelos
    %jmp T_13;
2616
    .thread T_13;
2617 6 marcelos
    .scope S_0x7fd837573a70;
2618 4 marcelos
T_14 ;
2619 6 marcelos
    %wait E_0x7fd837581470;
2620
    %load/vec4 v0x7fd8375d3810_0;
2621 4 marcelos
    %parti/s 11, 2, 3;
2622
    %pad/u 13;
2623
    %ix/vec4 4;
2624 6 marcelos
    %load/vec4a v0x7fd8375d4730, 4;
2625
    %assign/vec4 v0x7fd8375d47d0_0, 0;
2626 4 marcelos
    %jmp T_14;
2627
    .thread T_14;
2628 6 marcelos
    .scope S_0x7fd837573a70;
2629 4 marcelos
T_15 ;
2630 6 marcelos
    %wait E_0x7fd837581470;
2631
    %load/vec4 v0x7fd8375d3dc0_0;
2632 4 marcelos
    %nor/r;
2633 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2634 4 marcelos
    %and;
2635 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2636 4 marcelos
    %parti/s 1, 31, 6;
2637
    %pad/u 32;
2638
    %pushi/vec4 0, 0, 32;
2639
    %cmp/e;
2640
    %flag_get/vec4 4;
2641
    %and;
2642 6 marcelos
    %load/vec4 v0x7fd8375d33c0_0;
2643 4 marcelos
    %parti/s 1, 3, 3;
2644
    %and;
2645
    %flag_set/vec4 8;
2646
    %jmp/0xz  T_15.0, 8;
2647 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2648 4 marcelos
    %parti/s 8, 24, 6;
2649 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2650 4 marcelos
    %parti/s 11, 2, 3;
2651
    %pad/u 13;
2652
    %ix/vec4 3;
2653
    %ix/load 4, 24, 0; part off
2654
    %ix/load 5, 0, 0; Constant delay
2655 6 marcelos
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
2656 4 marcelos
T_15.0 ;
2657 6 marcelos
    %load/vec4 v0x7fd8375d3dc0_0;
2658 4 marcelos
    %nor/r;
2659 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2660 4 marcelos
    %and;
2661 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2662 4 marcelos
    %parti/s 1, 31, 6;
2663
    %pad/u 32;
2664
    %pushi/vec4 0, 0, 32;
2665
    %cmp/e;
2666
    %flag_get/vec4 4;
2667
    %and;
2668 6 marcelos
    %load/vec4 v0x7fd8375d33c0_0;
2669 4 marcelos
    %parti/s 1, 2, 3;
2670
    %and;
2671
    %flag_set/vec4 8;
2672
    %jmp/0xz  T_15.2, 8;
2673 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2674 4 marcelos
    %parti/s 8, 16, 6;
2675 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2676 4 marcelos
    %parti/s 11, 2, 3;
2677
    %pad/u 13;
2678
    %ix/vec4 3;
2679
    %ix/load 4, 16, 0; part off
2680
    %ix/load 5, 0, 0; Constant delay
2681 6 marcelos
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
2682 4 marcelos
T_15.2 ;
2683 6 marcelos
    %load/vec4 v0x7fd8375d3dc0_0;
2684 4 marcelos
    %nor/r;
2685 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2686 4 marcelos
    %and;
2687 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2688 4 marcelos
    %parti/s 1, 31, 6;
2689
    %pad/u 32;
2690
    %pushi/vec4 0, 0, 32;
2691
    %cmp/e;
2692
    %flag_get/vec4 4;
2693
    %and;
2694 6 marcelos
    %load/vec4 v0x7fd8375d33c0_0;
2695 4 marcelos
    %parti/s 1, 1, 2;
2696
    %and;
2697
    %flag_set/vec4 8;
2698
    %jmp/0xz  T_15.4, 8;
2699 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2700 4 marcelos
    %parti/s 8, 8, 5;
2701 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2702 4 marcelos
    %parti/s 11, 2, 3;
2703
    %pad/u 13;
2704
    %ix/vec4 3;
2705
    %ix/load 4, 8, 0; part off
2706
    %ix/load 5, 0, 0; Constant delay
2707 6 marcelos
    %assign/vec4/a/d v0x7fd8375d4730, 4, 5;
2708 4 marcelos
T_15.4 ;
2709 6 marcelos
    %load/vec4 v0x7fd8375d3dc0_0;
2710 4 marcelos
    %nor/r;
2711 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2712 4 marcelos
    %and;
2713 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2714 4 marcelos
    %parti/s 1, 31, 6;
2715
    %pad/u 32;
2716
    %pushi/vec4 0, 0, 32;
2717
    %cmp/e;
2718
    %flag_get/vec4 4;
2719
    %and;
2720 6 marcelos
    %load/vec4 v0x7fd8375d33c0_0;
2721 4 marcelos
    %parti/s 1, 0, 2;
2722
    %and;
2723
    %flag_set/vec4 8;
2724
    %jmp/0xz  T_15.6, 8;
2725 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2726 4 marcelos
    %parti/s 8, 0, 2;
2727 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2728 4 marcelos
    %parti/s 11, 2, 3;
2729
    %pad/u 13;
2730
    %ix/vec4 3;
2731
    %ix/load 4, 0, 0; Constant delay
2732 6 marcelos
    %assign/vec4/a/d v0x7fd8375d4730, 0, 4;
2733 4 marcelos
T_15.6 ;
2734 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2735 4 marcelos
    %parti/s 2, 2, 3;
2736
    %pad/u 4;
2737
    %ix/vec4 4;
2738 6 marcelos
    %load/vec4a v0x7fd8375d4270, 4;
2739
    %assign/vec4 v0x7fd8375d4310_0, 0;
2740 4 marcelos
    %jmp T_15;
2741
    .thread T_15;
2742 6 marcelos
    .scope S_0x7fd837573a70;
2743 4 marcelos
T_16 ;
2744 6 marcelos
    %wait E_0x7fd837581470;
2745
    %load/vec4 v0x7fd8375d50d0_0;
2746
    %load/vec4 v0x7fd8375d3810_0;
2747 4 marcelos
    %parti/s 1, 31, 6;
2748
    %and;
2749 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2750 4 marcelos
    %parti/s 4, 0, 2;
2751
    %pushi/vec4 8, 0, 4;
2752
    %cmp/e;
2753
    %flag_get/vec4 4;
2754
    %and;
2755
    %flag_set/vec4 8;
2756
    %jmp/0xz  T_16.0, 8;
2757 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2758 4 marcelos
    %parti/s 16, 0, 2;
2759 6 marcelos
    %assign/vec4 v0x7fd8375d4680_0, 0;
2760 4 marcelos
T_16.0 ;
2761 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2762
    %load/vec4 v0x7fd8375d3810_0;
2763 4 marcelos
    %parti/s 1, 31, 6;
2764
    %and;
2765 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2766 4 marcelos
    %parti/s 4, 0, 2;
2767
    %pushi/vec4 10, 0, 4;
2768
    %cmp/e;
2769
    %flag_get/vec4 4;
2770
    %and;
2771
    %flag_set/vec4 8;
2772
    %jmp/0xz  T_16.2, 8;
2773 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2774 4 marcelos
    %parti/s 16, 16, 6;
2775 6 marcelos
    %assign/vec4 v0x7fd8375d3d30_0, 0;
2776 4 marcelos
T_16.2 ;
2777 6 marcelos
    %load/vec4 v0x7fd8375d4920_0;
2778 4 marcelos
    %flag_set/vec4 8;
2779
    %jmp/0xz  T_16.4, 8;
2780
    %pushi/vec4 99, 0, 32;
2781 6 marcelos
    %assign/vec4 v0x7fd8375d4da0_0, 0;
2782 4 marcelos
    %jmp T_16.5;
2783
T_16.4 ;
2784 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2785
    %load/vec4 v0x7fd8375d3810_0;
2786 4 marcelos
    %parti/s 1, 31, 6;
2787
    %and;
2788 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2789 4 marcelos
    %parti/s 4, 0, 2;
2790
    %pushi/vec4 12, 0, 4;
2791
    %cmp/e;
2792
    %flag_get/vec4 4;
2793
    %and;
2794
    %flag_set/vec4 8;
2795
    %jmp/0xz  T_16.6, 8;
2796 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2797
    %assign/vec4 v0x7fd8375d4da0_0, 0;
2798 4 marcelos
T_16.6 ;
2799
T_16.5 ;
2800 6 marcelos
    %load/vec4 v0x7fd8375d4920_0;
2801 4 marcelos
    %flag_set/vec4 8;
2802
    %jmp/0xz  T_16.8, 8;
2803
    %pushi/vec4 0, 0, 8;
2804 6 marcelos
    %assign/vec4 v0x7fd8375d3fe0_0, 0;
2805 4 marcelos
    %jmp T_16.9;
2806
T_16.8 ;
2807 6 marcelos
    %load/vec4 v0x7fd8375d50d0_0;
2808
    %load/vec4 v0x7fd8375d3810_0;
2809 4 marcelos
    %parti/s 1, 31, 6;
2810
    %and;
2811 6 marcelos
    %load/vec4 v0x7fd8375d3810_0;
2812 4 marcelos
    %parti/s 4, 0, 2;
2813
    %pushi/vec4 3, 0, 4;
2814
    %cmp/e;
2815
    %flag_get/vec4 4;
2816
    %and;
2817
    %flag_set/vec4 8;
2818
    %jmp/0xz  T_16.10, 8;
2819 6 marcelos
    %load/vec4 v0x7fd8375d39d0_0;
2820 4 marcelos
    %parti/s 1, 31, 6;
2821
    %flag_set/vec4 8;
2822
    %jmp/0 T_16.12, 8;
2823 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2824 4 marcelos
    %parti/s 1, 7, 4;
2825
    %jmp/1 T_16.13, 8;
2826
T_16.12 ; End of true expr.
2827 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2828 4 marcelos
    %parti/s 1, 7, 4;
2829
    %jmp/0 T_16.13, 8;
2830
 ; End of false expr.
2831
    %blend;
2832
T_16.13;
2833
    %ix/load 4, 7, 0;
2834
    %ix/load 5, 0, 0;
2835
    %flag_set/imm 4, 0;
2836 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2837
    %load/vec4 v0x7fd8375d39d0_0;
2838 4 marcelos
    %parti/s 1, 30, 6;
2839
    %flag_set/vec4 8;
2840
    %jmp/0 T_16.14, 8;
2841 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2842 4 marcelos
    %parti/s 1, 6, 4;
2843
    %jmp/1 T_16.15, 8;
2844
T_16.14 ; End of true expr.
2845 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2846 4 marcelos
    %parti/s 1, 6, 4;
2847
    %jmp/0 T_16.15, 8;
2848
 ; End of false expr.
2849
    %blend;
2850
T_16.15;
2851
    %ix/load 4, 6, 0;
2852
    %ix/load 5, 0, 0;
2853
    %flag_set/imm 4, 0;
2854 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2855
    %load/vec4 v0x7fd8375d39d0_0;
2856 4 marcelos
    %parti/s 1, 29, 6;
2857
    %flag_set/vec4 8;
2858
    %jmp/0 T_16.16, 8;
2859 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2860 4 marcelos
    %parti/s 1, 5, 4;
2861
    %jmp/1 T_16.17, 8;
2862
T_16.16 ; End of true expr.
2863 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2864 4 marcelos
    %parti/s 1, 5, 4;
2865
    %jmp/0 T_16.17, 8;
2866
 ; End of false expr.
2867
    %blend;
2868
T_16.17;
2869
    %ix/load 4, 5, 0;
2870
    %ix/load 5, 0, 0;
2871
    %flag_set/imm 4, 0;
2872 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2873
    %load/vec4 v0x7fd8375d39d0_0;
2874 4 marcelos
    %parti/s 1, 28, 6;
2875
    %flag_set/vec4 8;
2876
    %jmp/0 T_16.18, 8;
2877 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2878 4 marcelos
    %parti/s 1, 4, 4;
2879
    %jmp/1 T_16.19, 8;
2880
T_16.18 ; End of true expr.
2881 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2882 4 marcelos
    %parti/s 1, 4, 4;
2883
    %jmp/0 T_16.19, 8;
2884
 ; End of false expr.
2885
    %blend;
2886
T_16.19;
2887
    %ix/load 4, 4, 0;
2888
    %ix/load 5, 0, 0;
2889
    %flag_set/imm 4, 0;
2890 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2891
    %load/vec4 v0x7fd8375d39d0_0;
2892 4 marcelos
    %parti/s 1, 27, 6;
2893
    %flag_set/vec4 8;
2894
    %jmp/0 T_16.20, 8;
2895 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2896 4 marcelos
    %parti/s 1, 3, 3;
2897
    %jmp/1 T_16.21, 8;
2898
T_16.20 ; End of true expr.
2899 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2900 4 marcelos
    %parti/s 1, 3, 3;
2901
    %jmp/0 T_16.21, 8;
2902
 ; End of false expr.
2903
    %blend;
2904
T_16.21;
2905
    %ix/load 4, 3, 0;
2906
    %ix/load 5, 0, 0;
2907
    %flag_set/imm 4, 0;
2908 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2909
    %load/vec4 v0x7fd8375d39d0_0;
2910 4 marcelos
    %parti/s 1, 26, 6;
2911
    %flag_set/vec4 8;
2912
    %jmp/0 T_16.22, 8;
2913 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2914 4 marcelos
    %parti/s 1, 2, 3;
2915
    %jmp/1 T_16.23, 8;
2916
T_16.22 ; End of true expr.
2917 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2918 4 marcelos
    %parti/s 1, 2, 3;
2919
    %jmp/0 T_16.23, 8;
2920
 ; End of false expr.
2921
    %blend;
2922
T_16.23;
2923
    %ix/load 4, 2, 0;
2924
    %ix/load 5, 0, 0;
2925
    %flag_set/imm 4, 0;
2926 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2927
    %load/vec4 v0x7fd8375d39d0_0;
2928 4 marcelos
    %parti/s 1, 25, 6;
2929
    %flag_set/vec4 8;
2930
    %jmp/0 T_16.24, 8;
2931 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2932 4 marcelos
    %parti/s 1, 1, 2;
2933
    %jmp/1 T_16.25, 8;
2934
T_16.24 ; End of true expr.
2935 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2936 4 marcelos
    %parti/s 1, 1, 2;
2937
    %jmp/0 T_16.25, 8;
2938
 ; End of false expr.
2939
    %blend;
2940
T_16.25;
2941
    %ix/load 4, 1, 0;
2942
    %ix/load 5, 0, 0;
2943
    %flag_set/imm 4, 0;
2944 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2945
    %load/vec4 v0x7fd8375d39d0_0;
2946 4 marcelos
    %parti/s 1, 24, 6;
2947
    %flag_set/vec4 8;
2948
    %jmp/0 T_16.26, 8;
2949 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2950 4 marcelos
    %parti/s 1, 0, 2;
2951
    %jmp/1 T_16.27, 8;
2952
T_16.26 ; End of true expr.
2953 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
2954 4 marcelos
    %parti/s 1, 0, 2;
2955
    %jmp/0 T_16.27, 8;
2956
 ; End of false expr.
2957
    %blend;
2958
T_16.27;
2959
    %ix/load 4, 0, 0;
2960
    %ix/load 5, 0, 0;
2961
    %flag_set/imm 4, 0;
2962 6 marcelos
    %assign/vec4/off/d v0x7fd8375d3fe0_0, 4, 5;
2963 4 marcelos
T_16.10 ;
2964
T_16.9 ;
2965 6 marcelos
    %load/vec4 v0x7fd8375d4920_0;
2966 4 marcelos
    %flag_set/vec4 8;
2967
    %jmp/0xz  T_16.28, 8;
2968
    %pushi/vec4 0, 0, 8;
2969 6 marcelos
    %assign/vec4 v0x7fd8375d43c0_0, 0;
2970 4 marcelos
    %jmp T_16.29;
2971
T_16.28 ;
2972 6 marcelos
    %load/vec4 v0x7fd8375d4da0_0;
2973 4 marcelos
    %cmpi/ne 0, 0, 32;
2974
    %jmp/0xz  T_16.30, 4;
2975 6 marcelos
    %load/vec4 v0x7fd8375d4d10_0;
2976 4 marcelos
    %cmpi/ne 0, 0, 32;
2977
    %flag_mov 8, 4;
2978
    %jmp/0 T_16.32, 8;
2979 6 marcelos
    %load/vec4 v0x7fd8375d4d10_0;
2980 4 marcelos
    %subi 1, 0, 32;
2981
    %jmp/1 T_16.33, 8;
2982
T_16.32 ; End of true expr.
2983 6 marcelos
    %load/vec4 v0x7fd8375d4da0_0;
2984 4 marcelos
    %jmp/0 T_16.33, 8;
2985
 ; End of false expr.
2986
    %blend;
2987
T_16.33;
2988 6 marcelos
    %assign/vec4 v0x7fd8375d4d10_0, 0;
2989
    %load/vec4 v0x7fd8375d4d10_0;
2990 4 marcelos
    %pushi/vec4 0, 0, 32;
2991
    %cmp/e;
2992
    %flag_get/vec4 4;
2993 6 marcelos
    %load/vec4 v0x7fd8375d43c0_0;
2994
    %load/vec4 v0x7fd8375d3fe0_0;
2995 4 marcelos
    %cmp/e;
2996
    %flag_get/vec4 4;
2997
    %and;
2998
    %flag_set/vec4 8;
2999
    %jmp/0xz  T_16.34, 8;
3000 6 marcelos
    %load/vec4 v0x7fd8375d3fe0_0;
3001 4 marcelos
    %parti/s 1, 7, 4;
3002
    %nor/r;
3003
    %ix/load 4, 7, 0;
3004
    %ix/load 5, 0, 0;
3005
    %flag_set/imm 4, 0;
3006 6 marcelos
    %assign/vec4/off/d v0x7fd8375d43c0_0, 4, 5;
3007 4 marcelos
T_16.34 ;
3008 6 marcelos
    %load/vec4 v0x7fd8375d5400_0;
3009
    %load/vec4 v0x7fd8375d4d10_0;
3010 4 marcelos
    %pushi/vec4 0, 0, 32;
3011
    %cmp/e;
3012
    %flag_get/vec4 4;
3013
    %add;
3014 6 marcelos
    %assign/vec4 v0x7fd8375d5400_0, 0;
3015 4 marcelos
T_16.30 ;
3016
T_16.29 ;
3017
    %jmp T_16;
3018
    .thread T_16;
3019 6 marcelos
    .scope S_0x7fd837573a70;
3020 4 marcelos
T_17 ;
3021
    %vpi_call 3 751 "$dumpfile", "darksocv.vcd" {0 0 0};
3022
    %vpi_call 3 752 "$dumpvars" {0 0 0};
3023
    %end;
3024
    .thread T_17;
3025 6 marcelos
    .scope S_0x7fd83755b530;
3026 4 marcelos
T_18 ;
3027
    %pushi/vec4 0, 0, 1;
3028 6 marcelos
    %store/vec4 v0x7fd8375dc4d0_0, 0, 1;
3029 4 marcelos
    %pushi/vec4 1, 0, 1;
3030 6 marcelos
    %store/vec4 v0x7fd8375dc590_0, 0, 1;
3031 4 marcelos
    %end;
3032
    .thread T_18;
3033 6 marcelos
    .scope S_0x7fd83755b530;
3034 4 marcelos
T_19 ;
3035
T_19.0 ;
3036
    %pushi/vec4 1, 0, 32;
3037
    %or/r;
3038
    %flag_set/vec4 8;
3039
    %jmp/0xz T_19.1, 8;
3040
    %delay 5000, 0;
3041 6 marcelos
    %load/vec4 v0x7fd8375dc4d0_0;
3042 4 marcelos
    %nor/r;
3043 6 marcelos
    %store/vec4 v0x7fd8375dc4d0_0, 0, 1;
3044 4 marcelos
    %jmp T_19.0;
3045
T_19.1 ;
3046
    %end;
3047
    .thread T_19;
3048 6 marcelos
    .scope S_0x7fd83755b530;
3049 4 marcelos
T_20 ;
3050
    %vpi_call 2 46 "$display", "reset (startup)" {0 0 0};
3051
    %delay 1000000, 0;
3052
    %pushi/vec4 0, 0, 1;
3053 6 marcelos
    %store/vec4 v0x7fd8375dc590_0, 0, 1;
3054 4 marcelos
    %end;
3055
    .thread T_20;
3056
# The file index is used to find the file name in the following table.
3057
:file_names 6;
3058
    "N/A";
3059
    "";
3060
    "darksimv.v";
3061
    "../rtl/darksocv.v";
3062
    "../rtl/darkriscv.v";
3063
    "../rtl/darkuart.v";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.