OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_12/] [rtl/] [verilog/] [dbg_top.v] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_top.v                                                   ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7 36 mohor
////  http://www.opencores.org/projects/DebugInterface/           ////
8 2 mohor
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15 52 mohor
////  All additional information is available in the README.txt   ////
16 2 mohor
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20 52 mohor
//// Copyright (C) 2000,2001, 2002 Authors                        ////
21 2 mohor
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 52 mohor
// Revision 1.27  2002/10/10 02:42:55  mohor
49
// WISHBONE Scan Chain is changed to reflect state of the WISHBONE access (WBInProgress bit added). Internal counter is used (counts 256 wb_clk cycles) and when counter exceeds that value, wb_cyc_o is negated.
50
//
51 51 mohor
// Revision 1.26  2002/05/07 14:43:59  mohor
52
// mon_cntl_o signals that controls monitor mux added.
53
//
54 47 mohor
// Revision 1.25  2002/04/22 12:54:11  mohor
55
// Signal names changed to lower case.
56
//
57 44 mohor
// Revision 1.24  2002/04/17 13:17:01  mohor
58
// Intentional error removed.
59
//
60 43 mohor
// Revision 1.23  2002/04/17 11:16:33  mohor
61
// A block for checking possible simulation/synthesis missmatch added.
62
//
63 42 mohor
// Revision 1.22  2002/03/12 10:31:53  mohor
64
// tap_top and dbg_top modules are put into two separate modules. tap_top
65
// contains only tap state machine and related logic. dbg_top contains all
66
// logic necessery for debugging.
67
//
68 37 mohor
// Revision 1.21  2002/03/08 15:28:16  mohor
69
// Structure changed. Hooks for jtag chain added.
70
//
71 36 mohor
// Revision 1.20  2002/02/06 12:23:09  mohor
72
// LatchedJTAG_IR used when muxing TDO instead of JTAG_IR.
73
//
74 33 mohor
// Revision 1.19  2002/02/05 13:34:51  mohor
75
// Stupid bug that was entered by previous update fixed.
76
//
77 32 mohor
// Revision 1.18  2002/02/05 12:41:01  mohor
78
// trst synchronization is not needed and was removed.
79
//
80 31 mohor
// Revision 1.17  2002/01/25 07:58:35  mohor
81
// IDCODE bug fixed, chains reused to decreas size of core. Data is shifted-in
82
// not filled-in. Tested in hw.
83
//
84 30 mohor
// Revision 1.16  2001/12/20 11:17:26  mohor
85
// TDO and TDO Enable signal are separated into two signals.
86
//
87 28 mohor
// Revision 1.15  2001/12/05 13:28:21  mohor
88
// trst signal is synchronized to wb_clk_i.
89
//
90 25 mohor
// Revision 1.14  2001/11/28 09:36:15  mohor
91
// Register length fixed.
92
//
93 22 mohor
// Revision 1.13  2001/11/27 13:37:43  mohor
94
// CRC is returned when chain selection data is transmitted.
95
//
96 21 mohor
// Revision 1.12  2001/11/26 10:47:09  mohor
97
// Crc generation is different for read or write commands. Small synthesys fixes.
98
//
99 20 mohor
// Revision 1.11  2001/11/14 10:10:41  mohor
100
// Wishbone data latched on wb_clk_i instead of risc_clk.
101
//
102 19 mohor
// Revision 1.10  2001/11/12 01:11:27  mohor
103
// Reset signals are not combined any more.
104
//
105 18 mohor
// Revision 1.9  2001/10/19 11:40:01  mohor
106
// dbg_timescale.v changed to timescale.v This is done for the simulation of
107
// few different cores in a single project.
108
//
109 17 mohor
// Revision 1.8  2001/10/17 10:39:03  mohor
110
// bs_chain_o added.
111
//
112 15 mohor
// Revision 1.7  2001/10/16 10:09:56  mohor
113
// Signal names changed to lowercase.
114 13 mohor
//
115 15 mohor
//
116 13 mohor
// Revision 1.6  2001/10/15 09:55:47  mohor
117
// Wishbone interface added, few fixes for better performance,
118
// hooks for boundary scan testing added.
119
//
120 12 mohor
// Revision 1.5  2001/09/24 14:06:42  mohor
121
// Changes connected to the OpenRISC access (SPR read, SPR write).
122
//
123 11 mohor
// Revision 1.4  2001/09/20 10:11:25  mohor
124
// Working version. Few bugs fixed, comments added.
125
//
126 9 mohor
// Revision 1.3  2001/09/19 11:55:13  mohor
127
// Asynchronous set/reset not used in trace any more.
128
//
129 8 mohor
// Revision 1.2  2001/09/18 14:13:47  mohor
130
// Trace fixed. Some registers changed, trace simplified.
131
//
132 5 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
133
// Initial official release.
134
//
135 2 mohor
// Revision 1.3  2001/06/01 22:22:35  mohor
136
// This is a backup. It is not a fully working version. Not for use, yet.
137
//
138
// Revision 1.2  2001/05/18 13:10:00  mohor
139
// Headers changed. All additional information is now avaliable in the README.txt file.
140
//
141
// Revision 1.1.1.1  2001/05/18 06:35:02  mohor
142
// Initial release
143
//
144
//
145
 
146 20 mohor
// synopsys translate_off
147 17 mohor
`include "timescale.v"
148 20 mohor
// synopsys translate_on
149 2 mohor
`include "dbg_defines.v"
150
 
151
// Top module
152 9 mohor
module dbg_top(
153
 
154
                // RISC signals
155 11 mohor
                risc_clk_i, risc_addr_o, risc_data_i, risc_data_o, wp_i,
156
                bp_i, opselect_o, lsstatus_i, istatus_i, risc_stall_o, reset_o,
157 9 mohor
 
158 12 mohor
                // WISHBONE common signals
159
                wb_rst_i, wb_clk_i,
160
 
161
                // WISHBONE master interface
162
                wb_adr_o, wb_dat_o, wb_dat_i, wb_cyc_o, wb_stb_o, wb_sel_o,
163 36 mohor
                wb_we_o, wb_ack_i, wb_cab_o, wb_err_i,
164 12 mohor
 
165 36 mohor
                // TAP states
166
                ShiftDR, Exit1DR, UpdateDR, UpdateDR_q,
167
 
168
                // Instructions
169
                IDCODESelected, CHAIN_SELECTSelected, DEBUGSelected,
170
 
171
                // TAP signals
172 37 mohor
                trst_in, tck, tdi, TDOData,
173 36 mohor
 
174 47 mohor
                BypassRegister,
175
 
176
                // Monitor mux control
177
                mon_cntl_o
178 37 mohor
 
179 2 mohor
              );
180
 
181
parameter Tp = 1;
182
 
183
 
184 9 mohor
// RISC signals
185 11 mohor
input         risc_clk_i;                 // Master clock (RISC clock)
186 9 mohor
input  [31:0] risc_data_i;                // RISC data inputs (data that is written to the RISC registers)
187
input  [10:0] wp_i;                       // Watchpoint inputs
188
input         bp_i;                       // Breakpoint input
189
input  [3:0]  lsstatus_i;                 // Load/store status inputs
190
input  [1:0]  istatus_i;                  // Instruction status inputs
191
output [31:0] risc_addr_o;                // RISC address output (for adressing registers within RISC)
192
output [31:0] risc_data_o;                // RISC data output (data read from risc registers)
193
output [`OPSELECTWIDTH-1:0] opselect_o;   // Operation selection (selecting what kind of data is set to the risc_data_i)
194
output                      risc_stall_o; // Stalls the RISC
195 11 mohor
output                      reset_o;      // Resets the RISC
196 2 mohor
 
197
 
198 12 mohor
// WISHBONE common signals
199 9 mohor
input         wb_rst_i;                   // WISHBONE reset
200 12 mohor
input         wb_clk_i;                   // WISHBONE clock
201 9 mohor
 
202 12 mohor
// WISHBONE master interface
203
output [31:0] wb_adr_o;
204
output [31:0] wb_dat_o;
205
input  [31:0] wb_dat_i;
206
output        wb_cyc_o;
207
output        wb_stb_o;
208
output  [3:0] wb_sel_o;
209
output        wb_we_o;
210
input         wb_ack_i;
211
output        wb_cab_o;
212
input         wb_err_i;
213 9 mohor
 
214
// TAP states
215 36 mohor
input         ShiftDR;
216
input         Exit1DR;
217
input         UpdateDR;
218
input         UpdateDR_q;
219 2 mohor
 
220 37 mohor
input trst_in;
221 36 mohor
input tck;
222
input tdi;
223 2 mohor
 
224 36 mohor
input BypassRegister;
225 9 mohor
 
226 36 mohor
output TDOData;
227 47 mohor
output [3:0] mon_cntl_o;
228 36 mohor
 
229 9 mohor
// Defining which instruction is selected
230 36 mohor
input         IDCODESelected;
231
input         CHAIN_SELECTSelected;
232
input         DEBUGSelected;
233 2 mohor
 
234 36 mohor
reg           wb_cyc_o;
235 9 mohor
 
236 36 mohor
reg [31:0]    ADDR;
237
reg [31:0]    DataOut;
238 11 mohor
 
239 36 mohor
reg [`OPSELECTWIDTH-1:0] opselect_o;        // Operation selection (selecting what kind of data is set to the risc_data_i)
240 2 mohor
 
241 36 mohor
reg [`CHAIN_ID_LENGTH-1:0] Chain;           // Selected chain
242
reg [31:0]    DataReadLatch;                // Data when reading register or RISC is latched one risc_clk_i clock after the data is read.
243
reg           RegAccessTck;                 // Indicates access to the registers (read or write)
244
reg           RISCAccessTck;                // Indicates access to the RISC (read or write)
245
reg [7:0]     BitCounter;                   // Counting bits in the ShiftDR and Exit1DR stages
246
reg           RW;                           // Read/Write bit
247
reg           CrcMatch;                     // The crc that is shifted in and the internaly calculated crc are equal
248 2 mohor
 
249 36 mohor
reg           RegAccess_q;                  // Delayed signals used for accessing the registers
250
reg           RegAccess_q2;                 // Delayed signals used for accessing the registers
251
reg           RISCAccess_q;                 // Delayed signals used for accessing the RISC
252
reg           RISCAccess_q2;                // Delayed signals used for accessing the RISC
253 2 mohor
 
254 36 mohor
reg           wb_AccessTck;                 // Indicates access to the WISHBONE
255
reg [31:0]    WBReadLatch;                  // Data latched during WISHBONE read
256
reg           WBErrorLatch;                 // Error latched during WISHBONE read
257 51 mohor
reg           WBInProgress;                 // WISHBONE access is in progress
258
reg [7:0]     WBAccessCounter;              // Counting access cycles. WBInProgress is cleared to 0 after counter exceeds 0xff
259
wire          WBAccessCounterExceed;        // Marks when the WBAccessCounter exceeds max value (oxff)
260
reg           WBInProgress_sync1;           // Synchronizing WBInProgress
261
reg           WBInProgress_tck;             // Synchronizing WBInProgress to tck clock signal
262 30 mohor
 
263 37 mohor
wire trst;
264 30 mohor
 
265 37 mohor
 
266 9 mohor
wire [31:0]             RegDataIn;        // Data from registers (read data)
267
wire [`CRC_LENGTH-1:0]  CalculatedCrcOut; // CRC calculated in this module. This CRC is apended at the end of the TDO.
268 2 mohor
 
269 9 mohor
wire RiscStall_reg;                       // RISC is stalled by setting the register bit
270
wire RiscReset_reg;                       // RISC is reset by setting the register bit
271
wire RiscStall_trace;                     // RISC is stalled by trace module
272
 
273
 
274
wire RegisterScanChain;                   // Register Scan chain selected
275
wire RiscDebugScanChain;                  // Risc Debug Scan chain selected
276 12 mohor
wire WishboneScanChain;                   // WISHBONE Scan chain selected
277 11 mohor
 
278
wire RiscStall_read_access;               // Stalling RISC because of the read access (SPR read)
279
wire RiscStall_write_access;              // Stalling RISC because of the write access (SPR write)
280
wire RiscStall_access;                    // Stalling RISC because of the read or write access
281
 
282 30 mohor
wire BitCounter_Lt4;
283
wire BitCounter_Eq5;
284
wire BitCounter_Eq32;
285
wire BitCounter_Lt38;
286
wire BitCounter_Lt65;
287
 
288 15 mohor
 
289
 
290 9 mohor
// This signals are used only when TRACE is used in the design
291 2 mohor
`ifdef TRACE_ENABLED
292 9 mohor
  wire [39:0] TraceChain;                 // Chain that comes from trace module
293 36 mohor
  reg  ReadBuffer_Tck;                    // Command for incrementing the trace read pointer (synchr with tck)
294 9 mohor
  wire ReadTraceBuffer;                   // Command for incrementing the trace read pointer (synchr with MClk)
295
  reg  ReadTraceBuffer_q;                 // Delayed command for incrementing the trace read pointer (synchr with MClk)
296
  wire ReadTraceBufferPulse;              // Pulse for reading the trace buffer (valid for only one Mclk command)
297 2 mohor
 
298
  // Outputs from registers
299 9 mohor
  wire ContinMode;                        // Trace working in continous mode
300
  wire TraceEnable;                       // Trace enabled
301 2 mohor
 
302 9 mohor
  wire [10:0] WpTrigger;                  // Watchpoint starts trigger
303
  wire        BpTrigger;                  // Breakpoint starts trigger
304
  wire [3:0]  LSSTrigger;                 // Load/store status starts trigger
305
  wire [1:0]  ITrigger;                   // Instruction status starts trigger
306
  wire [1:0]  TriggerOper;                // Trigger operation
307 2 mohor
 
308 9 mohor
  wire        WpTriggerValid;             // Watchpoint trigger is valid
309
  wire        BpTriggerValid;             // Breakpoint trigger is valid
310
  wire        LSSTriggerValid;            // Load/store status trigger is valid
311
  wire        ITriggerValid;              // Instruction status trigger is valid
312 2 mohor
 
313 9 mohor
  wire [10:0] WpQualif;                   // Watchpoint starts qualifier
314
  wire        BpQualif;                   // Breakpoint starts qualifier
315
  wire [3:0]  LSSQualif;                  // Load/store status starts qualifier
316
  wire [1:0]  IQualif;                    // Instruction status starts qualifier
317
  wire [1:0]  QualifOper;                 // Qualifier operation
318 2 mohor
 
319 9 mohor
  wire        WpQualifValid;              // Watchpoint qualifier is valid
320
  wire        BpQualifValid;              // Breakpoint qualifier is valid
321
  wire        LSSQualifValid;             // Load/store status qualifier is valid
322
  wire        IQualifValid;               // Instruction status qualifier is valid
323 2 mohor
 
324 9 mohor
  wire [10:0] WpStop;                     // Watchpoint stops recording of the trace
325
  wire        BpStop;                     // Breakpoint stops recording of the trace
326
  wire [3:0]  LSSStop;                    // Load/store status stops recording of the trace
327
  wire [1:0]  IStop;                      // Instruction status stops recording of the trace
328
  wire [1:0]  StopOper;                   // Stop operation
329 2 mohor
 
330 9 mohor
  wire WpStopValid;                       // Watchpoint stop is valid
331
  wire BpStopValid;                       // Breakpoint stop is valid
332
  wire LSSStopValid;                      // Load/store status stop is valid
333
  wire IStopValid;                        // Instruction status stop is valid
334 2 mohor
 
335 9 mohor
  wire RecordPC;                          // Recording program counter
336
  wire RecordLSEA;                        // Recording load/store effective address
337
  wire RecordLDATA;                       // Recording load data
338
  wire RecordSDATA;                       // Recording store data
339
  wire RecordReadSPR;                     // Recording read SPR
340
  wire RecordWriteSPR;                    // Recording write SPR
341
  wire RecordINSTR;                       // Recording instruction
342 2 mohor
 
343
  // End: Outputs from registers
344
 
345 9 mohor
  wire TraceTestScanChain;                // Trace Test Scan chain selected
346
  wire [47:0] Trace_Data;                 // Trace data
347 2 mohor
 
348 11 mohor
  wire [`OPSELECTWIDTH-1:0]opselect_trace;// Operation selection (trace selecting what kind of
349
                                          // data is set to the risc_data_i)
350 30 mohor
  wire BitCounter_Lt40;
351 11 mohor
 
352 2 mohor
`endif
353
 
354
 
355 52 mohor
assign trst = trst_in;                   // trst_pad_i is active high !!! Inverted on higher layer.
356 25 mohor
 
357
 
358 2 mohor
/**********************************************************************************
359
*                                                                                 *
360
*   JTAG_DR:  JTAG Data Register                                                  *
361
*                                                                                 *
362
**********************************************************************************/
363
reg [`DR_LENGTH-1:0]JTAG_DR_IN;    // Data register
364
reg TDOData;
365
 
366
 
367 36 mohor
always @ (posedge tck or posedge trst)
368 2 mohor
begin
369 18 mohor
  if(trst)
370 2 mohor
    JTAG_DR_IN[`DR_LENGTH-1:0]<=#Tp 0;
371
  else
372 30 mohor
  if(IDCODESelected)                          // To save space JTAG_DR_IN is also used for shifting out IDCODE
373
    begin
374
      if(ShiftDR)
375 36 mohor
        JTAG_DR_IN[31:0] <= #Tp {tdi, JTAG_DR_IN[31:1]};
376 30 mohor
      else
377
        JTAG_DR_IN[31:0] <= #Tp `IDCODE_VALUE;
378
    end
379
  else
380
  if(CHAIN_SELECTSelected & ShiftDR)
381 36 mohor
    JTAG_DR_IN[12:0] <= #Tp {tdi, JTAG_DR_IN[12:1]};
382 30 mohor
  else
383
  if(DEBUGSelected & ShiftDR)
384
    begin
385
      if(RiscDebugScanChain | WishboneScanChain)
386 36 mohor
        JTAG_DR_IN[73:0] <= #Tp {tdi, JTAG_DR_IN[73:1]};
387 30 mohor
      else
388
      if(RegisterScanChain)
389 36 mohor
        JTAG_DR_IN[46:0] <= #Tp {tdi, JTAG_DR_IN[46:1]};
390 30 mohor
    end
391 2 mohor
end
392 30 mohor
 
393 22 mohor
wire [73:0] RISC_Data;
394
wire [46:0] Register_Data;
395
wire [73:0] WISHBONE_Data;
396 21 mohor
wire [12:0] chain_sel_data;
397 12 mohor
wire wb_Access_wbClk;
398 2 mohor
 
399
 
400 30 mohor
reg select_crc_out;
401 36 mohor
always @ (posedge tck or posedge trst)
402 30 mohor
begin
403
  if(trst)
404
    select_crc_out <= 0;
405
  else
406
  if( RegisterScanChain  & BitCounter_Eq5  |
407
      RiscDebugScanChain & BitCounter_Eq32 |
408
      WishboneScanChain  & BitCounter_Eq32 )
409 36 mohor
    select_crc_out <=#Tp tdi;
410 30 mohor
  else
411
  if(CHAIN_SELECTSelected)
412
    select_crc_out <=#Tp 1;
413
  else
414
  if(UpdateDR)
415
    select_crc_out <=#Tp 0;
416
end
417 12 mohor
 
418 20 mohor
wire [8:0] send_crc;
419
 
420 30 mohor
assign send_crc = select_crc_out? {9{BypassRegister}}    :    // Calculated CRC is returned when read operation is
421
                                  {CalculatedCrcOut, 1'b0} ;  // performed, else received crc is returned (loopback).
422 20 mohor
 
423 30 mohor
assign RISC_Data      = {send_crc, DataReadLatch, 33'h0};
424
assign Register_Data  = {send_crc, DataReadLatch, 6'h0};
425 51 mohor
assign WISHBONE_Data  = {send_crc, WBReadLatch, 31'h0, WBInProgress, WBErrorLatch};
426 21 mohor
assign chain_sel_data = {send_crc, 4'h0};
427 20 mohor
 
428
 
429
`ifdef TRACE_ENABLED
430 2 mohor
  assign Trace_Data     = {CalculatedCrcOut, TraceChain};
431
`endif
432
 
433 36 mohor
//TDO is changing on the falling edge of tck
434
always @ (negedge tck or posedge trst)
435 2 mohor
begin
436 18 mohor
  if(trst)
437 2 mohor
    begin
438
      TDOData <= #Tp 0;
439
      `ifdef TRACE_ENABLED
440
      ReadBuffer_Tck<=#Tp 0;
441
      `endif
442
    end
443
  else
444
  if(UpdateDR)
445
    begin
446
      TDOData <= #Tp CrcMatch;
447
      `ifdef TRACE_ENABLED
448 9 mohor
      if(DEBUGSelected & TraceTestScanChain & TraceChain[0])  // Sample in the trace buffer is valid
449
        ReadBuffer_Tck<=#Tp 1;                                // Increment read pointer
450 2 mohor
      `endif
451
    end
452
  else
453
    begin
454
      if(ShiftDR)
455
        begin
456
          if(IDCODESelected)
457 36 mohor
            TDOData <= #Tp JTAG_DR_IN[0]; // IDCODE is shifted out 32-bits, then tdi is bypassed
458 2 mohor
          else
459
          if(CHAIN_SELECTSelected)
460 21 mohor
            TDOData <= #Tp chain_sel_data[BitCounter];        // Received crc is sent back
461 2 mohor
          else
462
          if(DEBUGSelected)
463
            begin
464
              if(RiscDebugScanChain)
465 9 mohor
                TDOData <= #Tp RISC_Data[BitCounter];         // Data read from RISC in the previous cycle is shifted out
466 2 mohor
              else
467
              if(RegisterScanChain)
468 9 mohor
                TDOData <= #Tp Register_Data[BitCounter];     // Data read from register in the previous cycle is shifted out
469 12 mohor
              else
470
              if(WishboneScanChain)
471
                TDOData <= #Tp WISHBONE_Data[BitCounter];     // Data read from the WISHBONE slave
472 2 mohor
              `ifdef TRACE_ENABLED
473
              else
474
              if(TraceTestScanChain)
475 9 mohor
                TDOData <= #Tp Trace_Data[BitCounter];        // Data from the trace buffer is shifted out
476 2 mohor
              `endif
477
            end
478
        end
479
      else
480
        begin
481
          TDOData <= #Tp 0;
482
          `ifdef TRACE_ENABLED
483
          ReadBuffer_Tck<=#Tp 0;
484
          `endif
485
        end
486
    end
487
end
488
 
489 42 mohor
 
490
//synopsys translate_off
491
always @ (posedge tck)
492
begin
493
  if(ShiftDR & CHAIN_SELECTSelected & BitCounter > 12)
494
    begin
495
      $display("\n%m Error: BitCounter is bigger then chain_sel_data bits width[12:0]. BitCounter=%d\n",BitCounter);
496
      $stop;
497
    end
498
  else
499
  if(ShiftDR & DEBUGSelected)
500
    begin
501
      if(RiscDebugScanChain & BitCounter > 73)
502
        begin
503
          $display("\n%m Error: BitCounter is bigger then RISC_Data bits width[73:0]. BitCounter=%d\n",BitCounter);
504
          $stop;
505
        end
506
      else
507 43 mohor
      if(RegisterScanChain & BitCounter > 46)
508 42 mohor
        begin
509
          $display("\n%m Error: BitCounter is bigger then RISC_Data bits width[46:0]. BitCounter=%d\n",BitCounter);
510
          $stop;
511
        end
512
      else
513
      if(WishboneScanChain & BitCounter > 73)
514
        begin
515
          $display("\n%m Error: BitCounter is bigger then WISHBONE_Data bits width[73:0]. BitCounter=%d\n",BitCounter);
516
          $stop;
517
        end
518
      `ifdef TRACE_ENABLED
519
      else
520
      if(TraceTestScanChain & BitCounter > 47)
521
        begin
522
          $display("\n%m Error: BitCounter is bigger then Trace_Data bits width[47:0]. BitCounter=%d\n",BitCounter);
523
          $stop;
524
        end
525
      `endif
526
    end
527
end
528
// synopsys translate_on
529
 
530
 
531
 
532
 
533
 
534
 
535
 
536
 
537 2 mohor
/**********************************************************************************
538
*                                                                                 *
539
*   End: JTAG_DR                                                                  *
540
*                                                                                 *
541
**********************************************************************************/
542
 
543
 
544
 
545
/**********************************************************************************
546
*                                                                                 *
547
*   CHAIN_SELECT logic                                                            *
548
*                                                                                 *
549
**********************************************************************************/
550 36 mohor
always @ (posedge tck or posedge trst)
551 2 mohor
begin
552 18 mohor
  if(trst)
553 9 mohor
    Chain[`CHAIN_ID_LENGTH-1:0]<=#Tp `GLOBAL_BS_CHAIN;  // Global BS chain is selected after reset
554 2 mohor
  else
555
  if(UpdateDR & CHAIN_SELECTSelected & CrcMatch)
556 9 mohor
    Chain[`CHAIN_ID_LENGTH-1:0]<=#Tp JTAG_DR_IN[3:0];   // New chain is selected
557 2 mohor
end
558
 
559
 
560
 
561
/**********************************************************************************
562
*                                                                                 *
563
*   Register read/write logic                                                     *
564
*   RISC registers read/write logic                                               *
565
*                                                                                 *
566
**********************************************************************************/
567 36 mohor
always @ (posedge tck or posedge trst)
568 2 mohor
begin
569 18 mohor
  if(trst)
570 2 mohor
    begin
571
      ADDR[31:0]        <=#Tp 32'h0;
572
      DataOut[31:0]     <=#Tp 32'h0;
573
      RW                <=#Tp 1'b0;
574
      RegAccessTck      <=#Tp 1'b0;
575
      RISCAccessTck     <=#Tp 1'b0;
576 12 mohor
      wb_AccessTck      <=#Tp 1'h0;
577 2 mohor
    end
578
  else
579
  if(UpdateDR & DEBUGSelected & CrcMatch)
580
    begin
581
      if(RegisterScanChain)
582
        begin
583
          ADDR[4:0]         <=#Tp JTAG_DR_IN[4:0];    // Latching address for register access
584
          RW                <=#Tp JTAG_DR_IN[5];      // latch R/W bit
585
          DataOut[31:0]     <=#Tp JTAG_DR_IN[37:6];   // latch data for write
586
          RegAccessTck      <=#Tp 1'b1;
587
        end
588
      else
589
      if(RiscDebugScanChain)
590
        begin
591
          ADDR[31:0]        <=#Tp JTAG_DR_IN[31:0];   // Latching address for RISC register access
592
          RW                <=#Tp JTAG_DR_IN[32];     // latch R/W bit
593
          DataOut[31:0]     <=#Tp JTAG_DR_IN[64:33];  // latch data for write
594
          RISCAccessTck     <=#Tp 1'b1;
595
        end
596 12 mohor
      else
597 51 mohor
      if(WishboneScanChain & (!WBInProgress_tck))
598 12 mohor
        begin
599 20 mohor
          ADDR              <=#Tp JTAG_DR_IN[31:0];   // Latching address for WISHBONE slave access
600
          RW                <=#Tp JTAG_DR_IN[32];     // latch R/W bit
601
          DataOut           <=#Tp JTAG_DR_IN[64:33];  // latch data for write
602 12 mohor
          wb_AccessTck      <=#Tp 1'b1;               // 
603
        end
604 2 mohor
    end
605
  else
606
    begin
607 36 mohor
      RegAccessTck      <=#Tp 1'b0;       // This signals are valid for one tck clock period only
608 2 mohor
      RISCAccessTck     <=#Tp 1'b0;
609 12 mohor
      wb_AccessTck      <=#Tp 1'b0;
610 2 mohor
    end
611
end
612
 
613 20 mohor
 
614
assign wb_adr_o = ADDR;
615
assign wb_we_o  = RW;
616
assign wb_dat_o = DataOut;
617 12 mohor
assign wb_sel_o[3:0] = 4'hf;
618
assign wb_cab_o = 1'b0;
619 20 mohor
 
620
 
621 11 mohor
// Synchronizing the RegAccess signal to risc_clk_i clock
622 36 mohor
dbg_sync_clk1_clk2 syn1 (.clk1(risc_clk_i),   .clk2(tck),           .reset1(wb_rst_i),  .reset2(trst),
623 2 mohor
                         .set2(RegAccessTck), .sync_out(RegAccess)
624
                        );
625
 
626 11 mohor
// Synchronizing the RISCAccess signal to risc_clk_i clock
627 36 mohor
dbg_sync_clk1_clk2 syn2 (.clk1(risc_clk_i),    .clk2(tck),          .reset1(wb_rst_i),  .reset2(trst),
628 2 mohor
                         .set2(RISCAccessTck), .sync_out(RISCAccess)
629
                        );
630
 
631
 
632 12 mohor
// Synchronizing the wb_Access signal to wishbone clock
633 36 mohor
dbg_sync_clk1_clk2 syn3 (.clk1(wb_clk_i),     .clk2(tck),           .reset1(wb_rst_i),  .reset2(trst),
634 12 mohor
                         .set2(wb_AccessTck), .sync_out(wb_Access_wbClk)
635
                        );
636
 
637
 
638
 
639
 
640
 
641 9 mohor
// Delayed signals used for accessing registers and RISC
642 18 mohor
always @ (posedge risc_clk_i or posedge wb_rst_i)
643 2 mohor
begin
644 18 mohor
  if(wb_rst_i)
645 2 mohor
    begin
646
      RegAccess_q   <=#Tp 1'b0;
647
      RegAccess_q2  <=#Tp 1'b0;
648
      RISCAccess_q  <=#Tp 1'b0;
649
      RISCAccess_q2 <=#Tp 1'b0;
650
    end
651
  else
652
    begin
653
      RegAccess_q   <=#Tp RegAccess;
654
      RegAccess_q2  <=#Tp RegAccess_q;
655
      RISCAccess_q  <=#Tp RISCAccess;
656
      RISCAccess_q2 <=#Tp RISCAccess_q;
657
    end
658
end
659
 
660 9 mohor
// Chip select and read/write signals for accessing RISC
661 11 mohor
assign RiscStall_write_access = RISCAccess & ~RISCAccess_q  &  RW;
662
assign RiscStall_read_access  = RISCAccess & ~RISCAccess_q2 & ~RW;
663
assign RiscStall_access = RiscStall_write_access | RiscStall_read_access;
664 2 mohor
 
665
 
666 12 mohor
reg wb_Access_wbClk_q;
667
// Delayed signals used for accessing WISHBONE
668 18 mohor
always @ (posedge wb_clk_i or posedge wb_rst_i)
669 12 mohor
begin
670 18 mohor
  if(wb_rst_i)
671 12 mohor
    wb_Access_wbClk_q <=#Tp 1'b0;
672
  else
673
    wb_Access_wbClk_q <=#Tp wb_Access_wbClk;
674
end
675
 
676 18 mohor
always @ (posedge wb_clk_i or posedge wb_rst_i)
677 12 mohor
begin
678 18 mohor
  if(wb_rst_i)
679 12 mohor
    wb_cyc_o <=#Tp 1'b0;
680
  else
681 51 mohor
  if(wb_Access_wbClk & ~wb_Access_wbClk_q)
682 12 mohor
    wb_cyc_o <=#Tp 1'b1;
683
  else
684 51 mohor
  if(wb_ack_i | wb_err_i | WBAccessCounterExceed)
685 12 mohor
    wb_cyc_o <=#Tp 1'b0;
686
end
687
 
688
assign wb_stb_o = wb_cyc_o;
689
 
690
 
691
// Latching data read from registers
692 19 mohor
always @ (posedge wb_clk_i or posedge wb_rst_i)
693 12 mohor
begin
694 18 mohor
  if(wb_rst_i)
695 12 mohor
    WBReadLatch[31:0]<=#Tp 32'h0;
696
  else
697
  if(wb_ack_i)
698
    WBReadLatch[31:0]<=#Tp wb_dat_i[31:0];
699
end
700
 
701
// Latching WISHBONE error cycle
702 18 mohor
always @ (posedge wb_clk_i or posedge wb_rst_i)
703 12 mohor
begin
704 18 mohor
  if(wb_rst_i)
705 12 mohor
    WBErrorLatch<=#Tp 1'b0;
706
  else
707
  if(wb_err_i)
708
    WBErrorLatch<=#Tp 1'b1;     // Latching wb_err_i while performing WISHBONE access
709 20 mohor
  else
710 12 mohor
  if(wb_ack_i)
711
    WBErrorLatch<=#Tp 1'b0;     // Clearing status
712
end
713
 
714
 
715 51 mohor
// WBInProgress is set at the beginning of the access and cleared when wb_ack_i or wb_err_i is set
716
always @ (posedge wb_clk_i or posedge wb_rst_i)
717
begin
718
  if(wb_rst_i)
719
    WBInProgress<=#Tp 1'b0;
720
  else
721
  if(wb_Access_wbClk & ~wb_Access_wbClk_q)
722
    WBInProgress<=#Tp 1'b1;
723
  else
724
  if(wb_ack_i | wb_err_i | WBAccessCounterExceed)
725
    WBInProgress<=#Tp 1'b0;
726
end
727
 
728
 
729
// Synchronizing WBInProgress
730
always @ (posedge wb_clk_i or posedge wb_rst_i)
731
begin
732
  if(wb_rst_i)
733
    WBAccessCounter<=#Tp 8'h0;
734
  else
735
  if(wb_ack_i | wb_err_i | WBAccessCounterExceed)
736
    WBAccessCounter<=#Tp 8'h0;
737
  else
738
  if(wb_cyc_o)
739
    WBAccessCounter<=#Tp WBAccessCounter + 1'b1;
740
end
741
 
742
assign WBAccessCounterExceed = WBAccessCounter==8'hff;
743
 
744
 
745
// Synchronizing WBInProgress
746
always @ (posedge tck)
747
begin
748
    WBInProgress_sync1<=#Tp WBInProgress;
749
    WBInProgress_tck<=#Tp WBInProgress_sync1;
750
end
751
 
752
 
753 9 mohor
// Whan enabled, TRACE stalls RISC while saving data to the trace buffer.
754 5 mohor
`ifdef TRACE_ENABLED
755 11 mohor
  assign  risc_stall_o = RiscStall_access | RiscStall_reg | RiscStall_trace ;
756 5 mohor
`else
757 12 mohor
  assign  risc_stall_o = RiscStall_access | RiscStall_reg;
758 5 mohor
`endif
759
 
760 11 mohor
assign  reset_o = RiscReset_reg;
761 5 mohor
 
762
 
763 12 mohor
`ifdef TRACE_ENABLED
764 11 mohor
always @ (RiscStall_write_access or RiscStall_read_access or opselect_trace)
765 12 mohor
`else
766
always @ (RiscStall_write_access or RiscStall_read_access)
767
`endif
768 11 mohor
begin
769
  if(RiscStall_write_access)
770
    opselect_o = `DEBUG_WRITE_SPR;  // Write spr
771
  else
772
  if(RiscStall_read_access)
773
    opselect_o = `DEBUG_READ_SPR;   // Read spr
774
  else
775 12 mohor
`ifdef TRACE_ENABLED
776 11 mohor
    opselect_o = opselect_trace;
777 12 mohor
`else
778
    opselect_o = 3'h0;
779
`endif
780 11 mohor
end
781 9 mohor
 
782 11 mohor
 
783 30 mohor
// Latching data read from RISC or registers
784 18 mohor
always @ (posedge risc_clk_i or posedge wb_rst_i)
785 2 mohor
begin
786 18 mohor
  if(wb_rst_i)
787 30 mohor
    DataReadLatch[31:0]<=#Tp 0;
788 2 mohor
  else
789
  if(RISCAccess_q & ~RISCAccess_q2)
790 30 mohor
    DataReadLatch[31:0]<=#Tp risc_data_i[31:0];
791
  else
792
  if(RegAccess_q & ~RegAccess_q2)
793
    DataReadLatch[31:0]<=#Tp RegDataIn[31:0];
794 2 mohor
end
795
 
796 12 mohor
assign risc_addr_o = ADDR;
797
assign risc_data_o = DataOut;
798 2 mohor
 
799
 
800
 
801
/**********************************************************************************
802
*                                                                                 *
803
*   Read Trace buffer logic                                                       *
804
*                                                                                 *
805
**********************************************************************************/
806
`ifdef TRACE_ENABLED
807
 
808 9 mohor
 
809 11 mohor
// Synchronizing the trace read buffer signal to risc_clk_i clock
810 36 mohor
dbg_sync_clk1_clk2 syn4 (.clk1(risc_clk_i),     .clk2(tck),           .reset1(wb_rst_i),  .reset2(trst),
811 9 mohor
                         .set2(ReadBuffer_Tck), .sync_out(ReadTraceBuffer)
812
                        );
813
 
814
 
815
 
816 18 mohor
  always @(posedge risc_clk_i or posedge wb_rst_i)
817 2 mohor
  begin
818 18 mohor
    if(wb_rst_i)
819 9 mohor
      ReadTraceBuffer_q <=#Tp 0;
820 2 mohor
    else
821 9 mohor
      ReadTraceBuffer_q <=#Tp ReadTraceBuffer;
822 2 mohor
  end
823 9 mohor
 
824
  assign ReadTraceBufferPulse = ReadTraceBuffer & ~ReadTraceBuffer_q;
825
 
826 2 mohor
`endif
827
 
828
/**********************************************************************************
829
*                                                                                 *
830
*   End: Read Trace buffer logic                                                  *
831
*                                                                                 *
832
**********************************************************************************/
833
 
834
 
835
 
836
 
837
 
838
/**********************************************************************************
839
*                                                                                 *
840
*   Bit counter                                                                   *
841
*                                                                                 *
842
**********************************************************************************/
843
 
844
 
845 36 mohor
always @ (posedge tck or posedge trst)
846 2 mohor
begin
847 18 mohor
  if(trst)
848 2 mohor
    BitCounter[7:0]<=#Tp 0;
849
  else
850
  if(ShiftDR)
851
    BitCounter[7:0]<=#Tp BitCounter[7:0]+1;
852
  else
853
  if(UpdateDR)
854
    BitCounter[7:0]<=#Tp 0;
855
end
856
 
857
 
858
 
859
/**********************************************************************************
860
*                                                                                 *
861
*   End: Bit counter                                                              *
862
*                                                                                 *
863
**********************************************************************************/
864
 
865
 
866
 
867
/**********************************************************************************
868
*                                                                                 *
869
*   Connecting Registers                                                          *
870
*                                                                                 *
871
**********************************************************************************/
872 44 mohor
dbg_registers dbgregs(.data_in(DataOut[31:0]), .data_out(RegDataIn[31:0]),
873
                      .address(ADDR[4:0]), .rw(RW), .access(RegAccess & ~RegAccess_q), .clk(risc_clk_i),
874
                      .bp(bp_i), .reset(wb_rst_i),
875 2 mohor
                      `ifdef TRACE_ENABLED
876 5 mohor
                      .ContinMode(ContinMode), .TraceEnable(TraceEnable),
877 2 mohor
                      .WpTrigger(WpTrigger), .BpTrigger(BpTrigger), .LSSTrigger(LSSTrigger),
878
                      .ITrigger(ITrigger), .TriggerOper(TriggerOper), .WpQualif(WpQualif),
879
                      .BpQualif(BpQualif), .LSSQualif(LSSQualif), .IQualif(IQualif),
880 5 mohor
                      .QualifOper(QualifOper), .RecordPC(RecordPC),
881
                      .RecordLSEA(RecordLSEA), .RecordLDATA(RecordLDATA),
882
                      .RecordSDATA(RecordSDATA), .RecordReadSPR(RecordReadSPR),
883
                      .RecordWriteSPR(RecordWriteSPR), .RecordINSTR(RecordINSTR),
884
                      .WpTriggerValid(WpTriggerValid),
885 2 mohor
                      .BpTriggerValid(BpTriggerValid), .LSSTriggerValid(LSSTriggerValid),
886
                      .ITriggerValid(ITriggerValid), .WpQualifValid(WpQualifValid),
887
                      .BpQualifValid(BpQualifValid), .LSSQualifValid(LSSQualifValid),
888
                      .IQualifValid(IQualifValid),
889
                      .WpStop(WpStop), .BpStop(BpStop), .LSSStop(LSSStop), .IStop(IStop),
890 5 mohor
                      .StopOper(StopOper), .WpStopValid(WpStopValid), .BpStopValid(BpStopValid),
891
                      .LSSStopValid(LSSStopValid), .IStopValid(IStopValid),
892 2 mohor
                      `endif
893 47 mohor
                      .risc_stall(RiscStall_reg), .risc_reset(RiscReset_reg), .mon_cntl_o(mon_cntl_o)
894 5 mohor
 
895 2 mohor
                     );
896
 
897
/**********************************************************************************
898
*                                                                                 *
899
*   End: Connecting Registers                                                     *
900
*                                                                                 *
901
**********************************************************************************/
902
 
903
 
904
/**********************************************************************************
905
*                                                                                 *
906
*   Connecting CRC module                                                         *
907
*                                                                                 *
908
**********************************************************************************/
909 18 mohor
wire AsyncResetCrc = trst;
910 9 mohor
wire SyncResetCrc = UpdateDR_q;
911 2 mohor
wire [7:0] CalculatedCrcIn;     // crc calculated from the input data (shifted in)
912
 
913 30 mohor
assign BitCounter_Lt4   = BitCounter<4;
914
assign BitCounter_Eq5   = BitCounter==5;
915
assign BitCounter_Eq32  = BitCounter==32;
916
assign BitCounter_Lt38  = BitCounter<38;
917
assign BitCounter_Lt65  = BitCounter<65;
918
 
919
`ifdef TRACE_ENABLED
920
  assign BitCounter_Lt40 = BitCounter<40;
921
`endif
922
 
923
 
924 2 mohor
wire EnableCrcIn = ShiftDR &
925 30 mohor
                  ( (CHAIN_SELECTSelected                 & BitCounter_Lt4) |
926
                    ((DEBUGSelected & RegisterScanChain)  & BitCounter_Lt38)|
927
                    ((DEBUGSelected & RiscDebugScanChain) & BitCounter_Lt65)|
928
                    ((DEBUGSelected & WishboneScanChain)  & BitCounter_Lt65)
929 9 mohor
                  );
930 2 mohor
 
931
wire EnableCrcOut= ShiftDR &
932 9 mohor
                   (
933 30 mohor
                    ((DEBUGSelected & RegisterScanChain)  & BitCounter_Lt38)|
934
                    ((DEBUGSelected & RiscDebugScanChain) & BitCounter_Lt65)|
935
                    ((DEBUGSelected & WishboneScanChain)  & BitCounter_Lt65)
936 2 mohor
                    `ifdef TRACE_ENABLED
937 30 mohor
                                                                            |
938
                    ((DEBUGSelected & TraceTestScanChain) & BitCounter_Lt40)
939 2 mohor
                    `endif
940 9 mohor
                   );
941 2 mohor
 
942
// Calculating crc for input data
943 44 mohor
dbg_crc8_d1 crc1 (.data(tdi), .enable_crc(EnableCrcIn), .reset(AsyncResetCrc), .sync_rst_crc(SyncResetCrc),
944
                  .crc_out(CalculatedCrcIn), .clk(tck));
945 2 mohor
 
946
// Calculating crc for output data
947 44 mohor
dbg_crc8_d1 crc2 (.data(TDOData), .enable_crc(EnableCrcOut), .reset(AsyncResetCrc), .sync_rst_crc(SyncResetCrc),
948
                  .crc_out(CalculatedCrcOut), .clk(tck));
949 2 mohor
 
950
 
951
// Generating CrcMatch signal
952 36 mohor
always @ (posedge tck or posedge trst)
953 2 mohor
begin
954 18 mohor
  if(trst)
955 2 mohor
    CrcMatch <=#Tp 1'b0;
956
  else
957
  if(Exit1DR)
958
    begin
959
      if(CHAIN_SELECTSelected)
960
        CrcMatch <=#Tp CalculatedCrcIn == JTAG_DR_IN[11:4];
961
      else
962 30 mohor
        begin
963
          if(RegisterScanChain)
964
            CrcMatch <=#Tp CalculatedCrcIn == JTAG_DR_IN[45:38];
965
          else
966
          if(RiscDebugScanChain)
967
            CrcMatch <=#Tp CalculatedCrcIn == JTAG_DR_IN[72:65];
968
          else
969
          if(WishboneScanChain)
970
            CrcMatch <=#Tp CalculatedCrcIn == JTAG_DR_IN[72:65];
971
        end
972 2 mohor
    end
973
end
974
 
975
 
976
// Active chain
977
assign RegisterScanChain   = Chain == `REGISTER_SCAN_CHAIN;
978
assign RiscDebugScanChain  = Chain == `RISC_DEBUG_CHAIN;
979 12 mohor
assign WishboneScanChain   = Chain == `WISHBONE_SCAN_CHAIN;
980 2 mohor
 
981
`ifdef TRACE_ENABLED
982
  assign TraceTestScanChain  = Chain == `TRACE_TEST_CHAIN;
983
`endif
984
 
985
/**********************************************************************************
986
*                                                                                 *
987
*   End: Connecting CRC module                                                    *
988
*                                                                                 *
989
**********************************************************************************/
990
 
991
/**********************************************************************************
992
*                                                                                 *
993
*   Connecting trace module                                                       *
994
*                                                                                 *
995
**********************************************************************************/
996
`ifdef TRACE_ENABLED
997 11 mohor
  dbg_trace dbgTrace1(.Wp(wp_i), .Bp(bp_i), .DataIn(risc_data_i), .OpSelect(opselect_trace),
998 9 mohor
                      .LsStatus(lsstatus_i), .IStatus(istatus_i), .RiscStall_O(RiscStall_trace),
999 18 mohor
                      .Mclk(risc_clk_i), .Reset(wb_rst_i), .TraceChain(TraceChain),
1000 8 mohor
                      .ContinMode(ContinMode), .TraceEnable_reg(TraceEnable),
1001 5 mohor
                      .WpTrigger(WpTrigger),
1002 2 mohor
                      .BpTrigger(BpTrigger), .LSSTrigger(LSSTrigger), .ITrigger(ITrigger),
1003
                      .TriggerOper(TriggerOper), .WpQualif(WpQualif), .BpQualif(BpQualif),
1004
                      .LSSQualif(LSSQualif), .IQualif(IQualif), .QualifOper(QualifOper),
1005 5 mohor
                      .RecordPC(RecordPC), .RecordLSEA(RecordLSEA),
1006
                      .RecordLDATA(RecordLDATA), .RecordSDATA(RecordSDATA),
1007
                      .RecordReadSPR(RecordReadSPR), .RecordWriteSPR(RecordWriteSPR),
1008
                      .RecordINSTR(RecordINSTR),
1009 2 mohor
                      .WpTriggerValid(WpTriggerValid), .BpTriggerValid(BpTriggerValid),
1010
                      .LSSTriggerValid(LSSTriggerValid), .ITriggerValid(ITriggerValid),
1011
                      .WpQualifValid(WpQualifValid), .BpQualifValid(BpQualifValid),
1012
                      .LSSQualifValid(LSSQualifValid), .IQualifValid(IQualifValid),
1013 9 mohor
                      .ReadBuffer(ReadTraceBufferPulse),
1014 2 mohor
                      .WpStop(WpStop), .BpStop(BpStop), .LSSStop(LSSStop), .IStop(IStop),
1015
                      .StopOper(StopOper), .WpStopValid(WpStopValid), .BpStopValid(BpStopValid),
1016
                      .LSSStopValid(LSSStopValid), .IStopValid(IStopValid)
1017
                     );
1018
`endif
1019
/**********************************************************************************
1020
*                                                                                 *
1021
*   End: Connecting trace module                                                  *
1022
*                                                                                 *
1023
**********************************************************************************/
1024
 
1025
 
1026
 
1027 9 mohor
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.