OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_13/] [sim/] [rtl_sim/] [run/] [run_sim.scr] - Blame information for rev 101

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 75 mohor
#!/bin/csh -f
2
 
3
if ( $# < 1 ) then
4
    echo "First argument must be a top level module name!"
5
    exit
6
else
7
    set SIM_TOP = $1
8
endif
9
 
10
set current_par = 1
11
set output_waveform = 0
12
while ( $current_par < $# )
13
    @ current_par = $current_par + 1
14
    case wave:
15
        @ output_waveform = 1
16
        breaksw
17
    default:
18
        echo 'Unknown option "'$argv[$current_par]'"!'
19
        exit
20
        breaksw
21
    endsw
22
end
23
 
24
echo "-CDSLIB ../bin/cds.lib"          > ncvlog.args
25
echo "-HDLVAR ../bin/hdl.var"         >> ncvlog.args
26
echo "-MESSAGES"                      >> ncvlog.args
27
echo "-INCDIR ../../../bench/verilog" >> ncvlog.args
28
echo "-INCDIR ../../../rtl/verilog"   >> ncvlog.args
29 85 mohor
echo "-INCDIR ../../../../jtag/tap/rtl/verilog"   >> ncvlog.args
30 75 mohor
echo "-NOCOPYRIGHT"                   >> ncvlog.args
31
echo "-LOGFILE ../log/ncvlog.log"     >> ncvlog.args
32
 
33
 
34 85 mohor
#foreach filename ( `cat ../bin/rtl_file_list` )
35
#    echo "../../../rtl/verilog/"$filename >> ncvlog.args
36
#end
37
#
38
#foreach filename ( `cat ../bin/sim_file_list` )
39
#    echo "../../../bench/verilog/"$filename >> ncvlog.args
40
#end
41 75 mohor
 
42 85 mohor
 
43
# RTL files
44
echo "../../../rtl/verilog/dbg_crc32_d1.v" >> ncvlog.args
45
echo "../../../rtl/verilog/dbg_wb.v" >> ncvlog.args
46 99 mohor
echo "../../../rtl/verilog/dbg_register.v" >> ncvlog.args
47
echo "../../../rtl/verilog/dbg_cpu_registers.v" >> ncvlog.args
48
echo "../../../rtl/verilog/dbg_cpu.v" >> ncvlog.args
49 85 mohor
echo "../../../rtl/verilog/dbg_top.v" >> ncvlog.args
50
echo "../../../../jtag/tap/rtl/verilog/tap_top.v" >> ncvlog.args
51
 
52
 
53
# Simulation files
54
echo "../../../bench/verilog/timescale.v" >> ncvlog.args
55
echo "../../../bench/verilog/wb_slave_behavioral.v" >> ncvlog.args
56 101 mohor
echo "../../../bench/verilog/cpu_behavioral.v" >> ncvlog.args
57 85 mohor
echo "../../../bench/verilog/dbg_tb.v" >> ncvlog.args
58
 
59 75 mohor
ncvlog -f ncvlog.args
60
 
61
echo "-MESSAGES"                             > ncelab.args
62
echo "-NOCOPYRIGHT"                         >> ncelab.args
63
echo "-CDSLIB ../bin/cds.lib"               >> ncelab.args
64
echo "-HDLVAR ../bin/hdl.var"               >> ncelab.args
65
echo "-LOGFILE ../log/ncelab.log"           >> ncelab.args
66
echo "-SNAPSHOT worklib.bench:rtl"          >> ncelab.args
67
echo "-NO_TCHK_MSG"                         >> ncelab.args
68
echo "-ACCESS +RWC"                         >> ncelab.args
69
echo worklib.$SIM_TOP                       >> ncelab.args
70
 
71
ncelab -f ncelab.args
72
 
73
echo "-MESSAGES"                   > ncsim.args
74
echo "-NOCOPYRIGHT"               >> ncsim.args
75
echo "-CDSLIB ../bin/cds.lib"     >> ncsim.args
76
echo "-HDLVAR ../bin/hdl.var"     >> ncsim.args
77
echo "-INPUT ncsim.tcl"           >> ncsim.args
78
echo "-LOGFILE ../log/ncsim.log"  >> ncsim.args
79
echo "worklib.bench:rtl"          >> ncsim.args
80
 
81
if ( $output_waveform ) then
82
    echo "database -open waves -shm -into ../out/waves.shm"             > ./ncsim.tcl
83
    echo "probe -create -database waves $SIM_TOP -shm -all -depth all" >> ./ncsim.tcl
84
    echo "run"                                                         >> ./ncsim.tcl
85
else
86
    echo "run"  > ./ncsim.tcl
87
endif
88
 
89
echo "quit" >> ncsim.tcl
90
 
91
ncsim -LICQUEUE -f ./ncsim.args

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.