OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_21/] [sim/] [rtl_sim/] [run/] [run_sim] - Blame information for rev 134

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 126 mohor
#!/bin/csh -f
2
 
3
if ( $# < 1 ) then
4
    echo "Top level module name is dbg_tb"
5
    set SIM_TOP = dbg_tb
6
#    exit
7
else
8
    set SIM_TOP = $1
9
endif
10
 
11
set current_par = 1
12
set output_waveform = 0
13
while ( $current_par < $# )
14
    @ current_par = $current_par + 1
15
    case wave:
16
        @ output_waveform = 1
17
        breaksw
18
    default:
19
        echo 'Unknown option "'$argv[$current_par]'"!'
20
        exit
21
        breaksw
22
    endsw
23
end
24
 
25
echo "-CDSLIB ../bin/cds.lib"          > ncvlog.args
26
echo "-HDLVAR ../bin/hdl.var"         >> ncvlog.args
27
echo "-MESSAGES"                      >> ncvlog.args
28
echo "-INCDIR ../../../bench/verilog" >> ncvlog.args
29
echo "-INCDIR ../../../rtl/verilog"   >> ncvlog.args
30
echo "-INCDIR ../../../../jtag/tap/rtl/verilog"   >> ncvlog.args
31
echo "-NOCOPYRIGHT"                   >> ncvlog.args
32
echo "-LOGFILE ../log/ncvlog.log"     >> ncvlog.args
33
 
34
 
35
#foreach filename ( `cat ../bin/rtl_file_list` )
36
#    echo "../../../rtl/verilog/"$filename >> ncvlog.args
37
#end
38
#
39
#foreach filename ( `cat ../bin/sim_file_list` )
40
#    echo "../../../bench/verilog/"$filename >> ncvlog.args
41
#end
42
 
43
 
44
# RTL files
45
echo "../../../rtl/verilog/dbg_crc32_d1.v" >> ncvlog.args
46
echo "../../../rtl/verilog/dbg_wb.v" >> ncvlog.args
47
echo "../../../rtl/verilog/dbg_register.v" >> ncvlog.args
48
echo "../../../rtl/verilog/dbg_cpu_registers.v" >> ncvlog.args
49
echo "../../../rtl/verilog/dbg_cpu.v" >> ncvlog.args
50
echo "../../../rtl/verilog/dbg_top.v" >> ncvlog.args
51
echo "../../../../jtag/tap/rtl/verilog/tap_top.v" >> ncvlog.args
52
 
53
 
54
# Simulation files
55
echo "../../../bench/verilog/timescale.v" >> ncvlog.args
56
echo "../../../bench/verilog/wb_slave_behavioral.v" >> ncvlog.args
57
echo "../../../bench/verilog/cpu_behavioral.v" >> ncvlog.args
58
echo "../../../bench/verilog/dbg_tb.v" >> ncvlog.args
59
 
60
ncvlog -f ncvlog.args
61
 
62
echo "-MESSAGES"                             > ncelab.args
63
echo "-NOCOPYRIGHT"                         >> ncelab.args
64
echo "-CDSLIB ../bin/cds.lib"               >> ncelab.args
65
echo "-HDLVAR ../bin/hdl.var"               >> ncelab.args
66
echo "-LOGFILE ../log/ncelab.log"           >> ncelab.args
67
echo "-SNAPSHOT worklib.bench:rtl"          >> ncelab.args
68
echo "-NO_TCHK_MSG"                         >> ncelab.args
69
echo "-ACCESS +RWC"                         >> ncelab.args
70
echo worklib.$SIM_TOP                       >> ncelab.args
71
 
72
ncelab -f ncelab.args
73
 
74
echo "-MESSAGES"                   > ncsim.args
75
echo "-NOCOPYRIGHT"               >> ncsim.args
76
echo "-CDSLIB ../bin/cds.lib"     >> ncsim.args
77
echo "-HDLVAR ../bin/hdl.var"     >> ncsim.args
78
echo "-INPUT ncsim.tcl"           >> ncsim.args
79
echo "-LOGFILE ../log/ncsim.log"  >> ncsim.args
80
echo "worklib.bench:rtl"          >> ncsim.args
81
 
82
if ( $output_waveform ) then
83
    echo "database -open waves -shm -into ../out/waves.shm"             > ./ncsim.tcl
84
    echo "probe -create -database waves $SIM_TOP -shm -all -depth all" >> ./ncsim.tcl
85
    echo "run"                                                         >> ./ncsim.tcl
86
else
87
    echo "run"  > ./ncsim.tcl
88
endif
89
 
90
echo "quit" >> ncsim.tcl
91
 
92
ncsim -LICQUEUE -f ./ncsim.args

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.