OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [rel_6/] [rtl/] [verilog/] [dbg_registers.v] - Blame information for rev 158

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_registers.v                                             ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 59 simons
// Revision 1.9  2003/07/31 12:19:49  simons
49
// Multiple cpu support added.
50
//
51 57 simons
// Revision 1.8  2002/10/10 02:42:55  mohor
52
// WISHBONE Scan Chain is changed to reflect state of the WISHBONE access (WBInProgress bit added). Internal counter is used (counts 256 wb_clk cycles) and when counter exceeds that value, wb_cyc_o is negated.
53
//
54 51 mohor
// Revision 1.7  2002/05/07 14:43:59  mohor
55
// mon_cntl_o signals that controls monitor mux added.
56
//
57 47 mohor
// Revision 1.6  2002/04/22 12:54:11  mohor
58
// Signal names changed to lower case.
59
//
60 44 mohor
// Revision 1.5  2001/11/26 10:47:09  mohor
61
// Crc generation is different for read or write commands. Small synthesys fixes.
62
//
63 20 mohor
// Revision 1.4  2001/10/19 11:40:02  mohor
64
// dbg_timescale.v changed to timescale.v This is done for the simulation of
65
// few different cores in a single project.
66
//
67 17 mohor
// Revision 1.3  2001/10/15 09:55:47  mohor
68
// Wishbone interface added, few fixes for better performance,
69
// hooks for boundary scan testing added.
70
//
71 12 mohor
// Revision 1.2  2001/09/18 14:13:47  mohor
72
// Trace fixed. Some registers changed, trace simplified.
73
//
74 5 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
75
// Initial official release.
76
//
77 2 mohor
// Revision 1.3  2001/06/01 22:22:35  mohor
78
// This is a backup. It is not a fully working version. Not for use, yet.
79
//
80
// Revision 1.2  2001/05/18 13:10:00  mohor
81
// Headers changed. All additional information is now avaliable in the README.txt file.
82
//
83
// Revision 1.1.1.1  2001/05/18 06:35:10  mohor
84
// Initial release
85
//
86
//
87
 
88 20 mohor
// synopsys translate_off
89 17 mohor
`include "timescale.v"
90 20 mohor
// synopsys translate_on
91 2 mohor
`include "dbg_defines.v"
92
 
93 44 mohor
module dbg_registers(data_in, data_out, address, rw, access, clk, bp, reset,
94 2 mohor
                     `ifdef TRACE_ENABLED
95
                     ContinMode,
96 5 mohor
                     TraceEnable, WpTrigger, BpTrigger, LSSTrigger,
97 2 mohor
                     ITrigger, TriggerOper, WpQualif, BpQualif, LSSQualif, IQualif,
98 5 mohor
                     QualifOper, RecordPC, RecordLSEA, RecordLDATA,
99
                     RecordSDATA, RecordReadSPR, RecordWriteSPR, RecordINSTR,
100 2 mohor
                     WpTriggerValid, BpTriggerValid, LSSTriggerValid, ITriggerValid,
101
                     WpQualifValid, BpQualifValid, LSSQualifValid, IQualifValid,
102
                     WpStop, BpStop, LSSStop, IStop, StopOper, WpStopValid, BpStopValid,
103 5 mohor
                     LSSStopValid, IStopValid,
104 2 mohor
                     `endif
105 61 simons
                     risc_stall, risc_stall_all, risc_sel, risc_reset, mon_cntl_o
106 2 mohor
                    );
107
 
108
parameter Tp = 1;
109
 
110 44 mohor
input [31:0] data_in;
111
input [4:0] address;
112 2 mohor
 
113 44 mohor
input rw;
114
input access;
115
input clk;
116
input bp;
117
input reset;
118 2 mohor
 
119 44 mohor
output [31:0] data_out;
120
reg    [31:0] data_out;
121 2 mohor
 
122
`ifdef TRACE_ENABLED
123
  output ContinMode;
124
  output TraceEnable;
125
 
126
  output [10:0] WpTrigger;
127
  output        BpTrigger;
128
  output [3:0]  LSSTrigger;
129
  output [1:0]  ITrigger;
130
  output [1:0]  TriggerOper;
131
 
132
  output        WpTriggerValid;
133
  output        BpTriggerValid;
134
  output        LSSTriggerValid;
135
  output        ITriggerValid;
136
 
137
  output [10:0] WpQualif;
138
  output        BpQualif;
139
  output [3:0]  LSSQualif;
140
  output [1:0]  IQualif;
141
  output [1:0]  QualifOper;
142
 
143
  output        WpQualifValid;
144
  output        BpQualifValid;
145
  output        LSSQualifValid;
146
  output        IQualifValid;
147
 
148
  output [10:0] WpStop;
149
  output        BpStop;
150
  output [3:0]  LSSStop;
151
  output [1:0]  IStop;
152
  output [1:0]  StopOper;
153
 
154
  output WpStopValid;
155
  output BpStopValid;
156
  output LSSStopValid;
157
  output IStopValid;
158
 
159 5 mohor
  output RecordPC;
160
  output RecordLSEA;
161
  output RecordLDATA;
162
  output RecordSDATA;
163
  output RecordReadSPR;
164
  output RecordWriteSPR;
165
  output RecordINSTR;
166 2 mohor
`endif
167
 
168 44 mohor
  output risc_stall;
169 57 simons
  output risc_stall_all;
170
  output [`RISC_NUM-1:0] risc_sel;
171 44 mohor
  output risc_reset;
172 47 mohor
  output [3:0] mon_cntl_o;
173 5 mohor
 
174 47 mohor
  wire MODER_Acc     = (address == `MODER_ADR)    & access;
175
  wire RISCOP_Acc    = (address == `RISCOP_ADR)   & access;
176 57 simons
  wire RISCSEL_Acc   = (address == `RISCSEL_ADR)  & access;
177 47 mohor
  wire MON_CNTL_Acc  = (address == `MON_CNTL_ADR) & access;
178 2 mohor
`ifdef TRACE_ENABLED
179 47 mohor
  wire TSEL_Acc      = (address == `TSEL_ADR)     & access;
180
  wire QSEL_Acc      = (address == `QSEL_ADR)     & access;
181
  wire SSEL_Acc      = (address == `SSEL_ADR)     & access;
182
  wire RECSEL_Acc    = (address == `RECSEL_ADR)   & access;
183 5 mohor
`endif
184
 
185 2 mohor
 
186 47 mohor
  wire MODER_Wr      = MODER_Acc    &  rw;
187
  wire RISCOP_Wr     = RISCOP_Acc   &  rw;
188 57 simons
  wire RISCSEL_Wr    = RISCSEL_Acc  &  rw;
189 47 mohor
  wire MON_CNTL_Wr   = MON_CNTL_Acc &  rw;
190 5 mohor
`ifdef TRACE_ENABLED
191 47 mohor
  wire TSEL_Wr       = TSEL_Acc     &  rw;
192
  wire QSEL_Wr       = QSEL_Acc     &  rw;
193
  wire SSEL_Wr       = SSEL_Acc     &  rw;
194
  wire RECSEL_Wr     = RECSEL_Acc   &  rw;
195 5 mohor
`endif
196
 
197
 
198 2 mohor
 
199 47 mohor
  wire MODER_Rd      = MODER_Acc    & ~rw;
200
  wire RISCOP_Rd     = RISCOP_Acc   & ~rw;
201 57 simons
  wire RISCSEL_Rd    = RISCSEL_Acc  & ~rw;
202 47 mohor
  wire MON_CNTL_Rd   = MON_CNTL_Acc & ~rw;
203 5 mohor
`ifdef TRACE_ENABLED
204 47 mohor
  wire TSEL_Rd       = TSEL_Acc     & ~rw;
205
  wire QSEL_Rd       = QSEL_Acc     & ~rw;
206
  wire SSEL_Rd       = SSEL_Acc     & ~rw;
207
  wire RECSEL_Rd     = RECSEL_Acc   & ~rw;
208 2 mohor
`endif
209
 
210
 
211 57 simons
  wire [31:0]           MODEROut;
212
  wire [2:1]            RISCOPOut;
213
  wire [`RISC_NUM-1:0]  RISCSELOut;
214
  wire [3:0]            MONCNTLOut;
215 5 mohor
 
216 2 mohor
`ifdef TRACE_ENABLED
217 57 simons
  wire [31:0]           TSELOut;
218
  wire [31:0]           QSELOut;
219
  wire [31:0]           SSELOut;
220
  wire [6:0]            RECSELOut;
221 2 mohor
`endif
222
 
223
 
224
`ifdef TRACE_ENABLED
225 5 mohor
  assign MODEROut[15:0] = 16'h0001;
226
  assign MODEROut[31:18] = 14'h0;
227
`else
228
  assign MODEROut[31:0] = 32'h0000;
229 2 mohor
`endif
230
 
231
 
232 12 mohor
  reg RiscStallBp;
233 44 mohor
  always @(posedge clk or posedge reset)
234 12 mohor
  begin
235 44 mohor
    if(reset)
236 12 mohor
      RiscStallBp <= 1'b0;
237
    else
238 44 mohor
    if(bp)                      // Breakpoint sets bit
239 12 mohor
      RiscStallBp <= 1'b1;
240
    else
241
    if(RISCOP_Wr)               // Register access can set or clear bit
242 44 mohor
      RiscStallBp <= data_in[0];
243 12 mohor
  end
244 5 mohor
 
245 57 simons
  dbg_register #(2, 0)  RISCOP  (.data_in(data_in[2:1]),   .data_out(RISCOPOut[2:1]),    .write(RISCOP_Wr),   .clk(clk), .reset(reset));
246 59 simons
  dbg_register #(`RISC_NUM, 1)  RISCSEL  (.data_in(data_in[`RISC_NUM-1:0]),   .data_out(RISCSELOut),    .write(RISCSEL_Wr),   .clk(clk), .reset(reset));
247 51 mohor
  dbg_register #(4, `MON_CNTL_DEF)  MONCNTL (.data_in(data_in[3:0]), .data_out(MONCNTLOut[3:0]), .write(MON_CNTL_Wr), .clk(clk), .reset(reset));
248 12 mohor
 
249
 
250 2 mohor
`ifdef TRACE_ENABLED
251 51 mohor
  dbg_register #(2, `MODER_DEF)  MODER  (.data_in(data_in[17:16]), .data_out(MODEROut[17:16]), .write(MODER_Wr),   .clk(clk), .reset(reset));
252
  dbg_register #(32, `TSEL_DEF) TSEL   (.data_in(data_in),      .data_out(TSELOut),    .write(TSEL_Wr),    .clk(clk), .reset(reset));
253
  dbg_register #(32, `QSEL_DEF) QSEL   (.data_in(data_in),      .data_out(QSELOut),    .write(QSEL_Wr),    .clk(clk), .reset(reset));
254
  dbg_register #(32, `SSEL_DEF) SSEL   (.data_in(data_in),      .data_out(SSELOut),    .write(SSEL_Wr),    .clk(clk), .reset(reset));
255
  dbg_register #(7, `RECSEL_DEF) RECSEL  (.data_in(data_in[6:0]), .data_out(RECSELOut),  .write(RECSEL_Wr),  .clk(clk), .reset(reset));
256 5 mohor
`endif
257
 
258
 
259
 
260 44 mohor
always @ (posedge clk)
261 2 mohor
begin
262 44 mohor
  if(MODER_Rd)    data_out<= #Tp MODEROut;
263 2 mohor
  else
264 57 simons
  if(RISCOP_Rd)   data_out<= #Tp {29'h0, RISCOPOut[2:1], risc_stall};
265 47 mohor
  else
266 57 simons
  if(RISCSEL_Rd)  data_out<= #Tp {{(32-`RISC_NUM){1'b0}}, RISCSELOut};
267
  else
268 47 mohor
  if(MON_CNTL_Rd) data_out<= #Tp {28'h0, MONCNTLOut};
269 5 mohor
`ifdef TRACE_ENABLED
270
  else
271 44 mohor
  if(TSEL_Rd)     data_out<= #Tp TSELOut;
272 2 mohor
  else
273 44 mohor
  if(QSEL_Rd)     data_out<= #Tp QSELOut;
274 2 mohor
  else
275 44 mohor
  if(SSEL_Rd)     data_out<= #Tp SSELOut;
276 2 mohor
  else
277 44 mohor
  if(RECSEL_Rd)   data_out<= #Tp {25'h0, RECSELOut};
278 5 mohor
`endif
279 44 mohor
  else            data_out<= #Tp 'h0;
280 2 mohor
end
281
 
282
`ifdef TRACE_ENABLED
283 5 mohor
  assign TraceEnable       = MODEROut[16];
284
  assign ContinMode        = MODEROut[17];
285 2 mohor
 
286
  assign WpTrigger[10:0]   = TSELOut[10:0];
287
  assign WpTriggerValid    = TSELOut[11];
288
  assign BpTrigger         = TSELOut[12];
289
  assign BpTriggerValid    = TSELOut[13];
290
  assign LSSTrigger[3:0]   = TSELOut[19:16];
291
  assign LSSTriggerValid   = TSELOut[20];
292
  assign ITrigger[1:0]     = TSELOut[22:21];
293
  assign ITriggerValid     = TSELOut[23];
294
  assign TriggerOper[1:0]  = TSELOut[31:30];
295
 
296
  assign WpQualif[10:0]    = QSELOut[10:0];
297
  assign WpQualifValid     = QSELOut[11];
298
  assign BpQualif          = QSELOut[12];
299
  assign BpQualifValid     = QSELOut[13];
300
  assign LSSQualif[3:0]    = QSELOut[19:16];
301
  assign LSSQualifValid    = QSELOut[20];
302
  assign IQualif[1:0]      = QSELOut[22:21];
303
  assign IQualifValid      = QSELOut[23];
304
  assign QualifOper[1:0]   = QSELOut[31:30];
305
 
306
  assign WpStop[10:0]    = SSELOut[10:0];
307
  assign WpStopValid     = SSELOut[11];
308
  assign BpStop          = SSELOut[12];
309
  assign BpStopValid     = SSELOut[13];
310
  assign LSSStop[3:0]    = SSELOut[19:16];
311
  assign LSSStopValid    = SSELOut[20];
312
  assign IStop[1:0]      = SSELOut[22:21];
313
  assign IStopValid      = SSELOut[23];
314
  assign StopOper[1:0]   = SSELOut[31:30];
315
 
316
 
317 5 mohor
  assign RecordPC           = RECSELOut[0];
318
  assign RecordLSEA         = RECSELOut[1];
319
  assign RecordLDATA        = RECSELOut[2];
320
  assign RecordSDATA        = RECSELOut[3];
321
  assign RecordReadSPR      = RECSELOut[4];
322
  assign RecordWriteSPR     = RECSELOut[5];
323
  assign RecordINSTR        = RECSELOut[6];
324 2 mohor
`endif
325
 
326 44 mohor
  assign risc_stall          = bp | RiscStallBp;   // bp asynchronously sets the risc_stall, then RiscStallBp (from register) holds it active
327 57 simons
  assign risc_stall_all      = RISCOPOut[2];       // this signal is used to stall all the cpus except the one that is selected in riscsel register
328
  assign risc_sel            = RISCSELOut;
329 44 mohor
  assign risc_reset          = RISCOPOut[1];
330 47 mohor
  assign mon_cntl_o          = MONCNTLOut;
331 2 mohor
 
332
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.