OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [sdram_test_working/] [rtl/] [verilog/] [dbg_crc8_d1.v] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_crc8_d1 crc1.v                                          ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 17 mohor
// Revision 1.2  2001/09/20 10:11:25  mohor
49
// Working version. Few bugs fixed, comments added.
50
//
51 9 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
52
// Initial official release.
53
//
54 2 mohor
// Revision 1.3  2001/06/01 22:22:36  mohor
55
// This is a backup. It is not a fully working version. Not for use, yet.
56
//
57
// Revision 1.2  2001/05/18 13:10:00  mohor
58
// Headers changed. All additional information is now avaliable in the README.txt file.
59
//
60
// Revision 1.1.1.1  2001/05/18 06:35:03  mohor
61
// Initial release
62
//
63
//
64
///////////////////////////////////////////////////////////////////////
65
// File:  CRC8_D1.v
66
// Date:  Fri Apr 27 20:56:55 2001
67
//
68
// Copyright (C) 1999 Easics NV.
69
// This source file may be used and distributed without restriction
70
// provided that this copyright statement is not removed from the file
71
// and that any derivative work contains the original copyright notice
72
// and the associated disclaimer.
73
//
74
// THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS
75
// OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
76
// WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.
77
//
78
// Purpose: Verilog module containing a synthesizable CRC function
79
//   * polynomial: (0 1 2 8)
80
//   * data width: 1
81
//
82
// Info: jand@easics.be (Jan Decaluwe)
83
//       http://www.easics.com
84
///////////////////////////////////////////////////////////////////////
85
 
86 17 mohor
`include "timescale.v"
87 2 mohor
`include "dbg_defines.v"
88
 
89
 
90 9 mohor
module dbg_crc8_d1 (Data, EnableCrc, Reset, SyncResetCrc, CrcOut, Clk);
91 2 mohor
 
92
parameter Tp = 1;
93
 
94
 
95
input Data;
96
input EnableCrc;
97 9 mohor
input Reset;
98
input SyncResetCrc;
99 2 mohor
input Clk;
100
 
101
 
102
output [7:0] CrcOut;
103
reg    [7:0] CrcOut;
104
 
105
 
106 9 mohor
always @ (posedge Clk or posedge Reset)
107 2 mohor
begin
108 9 mohor
  if(Reset)
109 2 mohor
    CrcOut[7:0] <= #Tp 0;
110
  else
111 9 mohor
  if(SyncResetCrc)
112
    CrcOut[7:0] <= #Tp 0;
113
  else
114 2 mohor
  if(EnableCrc)
115
    CrcOut[7:0] <= #Tp nextCRC8_D1(Data, CrcOut);
116
end
117
 
118
 
119
// polynomial: (0 1 2 8)
120
// data width: 1
121
function [7:0] nextCRC8_D1;
122
 
123
  input Data;
124
  input [7:0] Crc;
125
 
126
  reg [0:0] D;
127
  reg [7:0] C;
128
  reg [7:0] NewCRC;
129
 
130
  begin
131
    D[0] = Data;
132
    C = Crc;
133
 
134
    NewCRC[0] = D[0] ^ C[7];
135
    NewCRC[1] = D[0] ^ C[0] ^ C[7];
136
    NewCRC[2] = D[0] ^ C[1] ^ C[7];
137
    NewCRC[3] = C[2];
138
    NewCRC[4] = C[3];
139
    NewCRC[5] = C[4];
140
    NewCRC[6] = C[5];
141
    NewCRC[7] = C[6];
142
 
143
    nextCRC8_D1 = NewCRC;
144
  end
145
endfunction
146
 
147
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.