OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [sdram_test_working/] [rtl/] [verilog/] [dbg_crc8_d1.v] - Blame information for rev 26

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_crc8_d1 crc1.v                                          ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 26 mohor
// Revision 1.4  2001/11/26 10:47:09  mohor
49
// Crc generation is different for read or write commands. Small synthesys fixes.
50
//
51 20 mohor
// Revision 1.3  2001/10/19 11:40:02  mohor
52
// dbg_timescale.v changed to timescale.v This is done for the simulation of
53
// few different cores in a single project.
54
//
55 17 mohor
// Revision 1.2  2001/09/20 10:11:25  mohor
56
// Working version. Few bugs fixed, comments added.
57
//
58 9 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
59
// Initial official release.
60
//
61 2 mohor
// Revision 1.3  2001/06/01 22:22:36  mohor
62
// This is a backup. It is not a fully working version. Not for use, yet.
63
//
64
// Revision 1.2  2001/05/18 13:10:00  mohor
65
// Headers changed. All additional information is now avaliable in the README.txt file.
66
//
67
// Revision 1.1.1.1  2001/05/18 06:35:03  mohor
68
// Initial release
69
//
70
//
71
///////////////////////////////////////////////////////////////////////
72
// File:  CRC8_D1.v
73
// Date:  Fri Apr 27 20:56:55 2001
74
//
75
// Copyright (C) 1999 Easics NV.
76
// This source file may be used and distributed without restriction
77
// provided that this copyright statement is not removed from the file
78
// and that any derivative work contains the original copyright notice
79
// and the associated disclaimer.
80
//
81
// THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS
82
// OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
83
// WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.
84
//
85
// Purpose: Verilog module containing a synthesizable CRC function
86
//   * polynomial: (0 1 2 8)
87
//   * data width: 1
88
//
89
// Info: jand@easics.be (Jan Decaluwe)
90
//       http://www.easics.com
91
///////////////////////////////////////////////////////////////////////
92
 
93 20 mohor
// synopsys translate_off
94 17 mohor
`include "timescale.v"
95 20 mohor
// synopsys translate_on
96 2 mohor
`include "dbg_defines.v"
97
 
98
 
99 9 mohor
module dbg_crc8_d1 (Data, EnableCrc, Reset, SyncResetCrc, CrcOut, Clk);
100 2 mohor
 
101
parameter Tp = 1;
102
 
103
 
104
input Data;
105
input EnableCrc;
106 9 mohor
input Reset;
107
input SyncResetCrc;
108 2 mohor
input Clk;
109
 
110
 
111
output [7:0] CrcOut;
112
reg    [7:0] CrcOut;
113
 
114
// polynomial: (0 1 2 8)
115
// data width: 1
116
function [7:0] nextCRC8_D1;
117
 
118
  input Data;
119
  input [7:0] Crc;
120
 
121
  reg [0:0] D;
122
  reg [7:0] C;
123
  reg [7:0] NewCRC;
124
 
125
  begin
126
    D[0] = Data;
127
    C = Crc;
128
 
129
    NewCRC[0] = D[0] ^ C[7];
130
    NewCRC[1] = D[0] ^ C[0] ^ C[7];
131
    NewCRC[2] = D[0] ^ C[1] ^ C[7];
132
    NewCRC[3] = C[2];
133
    NewCRC[4] = C[3];
134
    NewCRC[5] = C[4];
135
    NewCRC[6] = C[5];
136
    NewCRC[7] = C[6];
137
 
138
    nextCRC8_D1 = NewCRC;
139
  end
140
endfunction
141
 
142 26 mohor
 
143
always @ (posedge Clk or posedge Reset)
144
begin
145
  if(Reset)
146
    CrcOut[7:0] <= #Tp 0;
147
  else
148
  if(SyncResetCrc)
149
    CrcOut[7:0] <= #Tp 0;
150
  else
151
  if(EnableCrc)
152
    CrcOut[7:0] <= #Tp nextCRC8_D1(Data, CrcOut);
153
end
154
 
155
 
156
 
157 2 mohor
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.