OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [sdram_test_working/] [rtl/] [verilog/] [dbg_crc8_d1.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_crc8_d1 crc1.v                                          ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 9 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
49
// Initial official release.
50
//
51 2 mohor
// Revision 1.3  2001/06/01 22:22:36  mohor
52
// This is a backup. It is not a fully working version. Not for use, yet.
53
//
54
// Revision 1.2  2001/05/18 13:10:00  mohor
55
// Headers changed. All additional information is now avaliable in the README.txt file.
56
//
57
// Revision 1.1.1.1  2001/05/18 06:35:03  mohor
58
// Initial release
59
//
60
//
61
///////////////////////////////////////////////////////////////////////
62
// File:  CRC8_D1.v
63
// Date:  Fri Apr 27 20:56:55 2001
64
//
65
// Copyright (C) 1999 Easics NV.
66
// This source file may be used and distributed without restriction
67
// provided that this copyright statement is not removed from the file
68
// and that any derivative work contains the original copyright notice
69
// and the associated disclaimer.
70
//
71
// THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS
72
// OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED
73
// WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE.
74
//
75
// Purpose: Verilog module containing a synthesizable CRC function
76
//   * polynomial: (0 1 2 8)
77
//   * data width: 1
78
//
79
// Info: jand@easics.be (Jan Decaluwe)
80
//       http://www.easics.com
81
///////////////////////////////////////////////////////////////////////
82
 
83
`include "dbg_timescale.v"
84
`include "dbg_defines.v"
85
 
86
 
87 9 mohor
module dbg_crc8_d1 (Data, EnableCrc, Reset, SyncResetCrc, CrcOut, Clk);
88 2 mohor
 
89
parameter Tp = 1;
90
 
91
 
92
input Data;
93
input EnableCrc;
94 9 mohor
input Reset;
95
input SyncResetCrc;
96 2 mohor
input Clk;
97
 
98
 
99
output [7:0] CrcOut;
100
reg    [7:0] CrcOut;
101
 
102
 
103 9 mohor
always @ (posedge Clk or posedge Reset)
104 2 mohor
begin
105 9 mohor
  if(Reset)
106 2 mohor
    CrcOut[7:0] <= #Tp 0;
107
  else
108 9 mohor
  if(SyncResetCrc)
109
    CrcOut[7:0] <= #Tp 0;
110
  else
111 2 mohor
  if(EnableCrc)
112
    CrcOut[7:0] <= #Tp nextCRC8_D1(Data, CrcOut);
113
end
114
 
115
 
116
// polynomial: (0 1 2 8)
117
// data width: 1
118
function [7:0] nextCRC8_D1;
119
 
120
  input Data;
121
  input [7:0] Crc;
122
 
123
  reg [0:0] D;
124
  reg [7:0] C;
125
  reg [7:0] NewCRC;
126
 
127
  begin
128
    D[0] = Data;
129
    C = Crc;
130
 
131
    NewCRC[0] = D[0] ^ C[7];
132
    NewCRC[1] = D[0] ^ C[0] ^ C[7];
133
    NewCRC[2] = D[0] ^ C[1] ^ C[7];
134
    NewCRC[3] = C[2];
135
    NewCRC[4] = C[3];
136
    NewCRC[5] = C[4];
137
    NewCRC[6] = C[5];
138
    NewCRC[7] = C[6];
139
 
140
    nextCRC8_D1 = NewCRC;
141
  end
142
endfunction
143
 
144
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.