OpenCores
URL https://opencores.org/ocsvn/dbg_interface/dbg_interface/trunk

Subversion Repositories dbg_interface

[/] [dbg_interface/] [tags/] [sdram_test_working/] [rtl/] [verilog/] [dbg_register.v] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  dbg_register.v                                              ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the SoC/OpenRISC Development Interface ////
7
////  http://www.opencores.org/cores/DebugInterface/              ////
8
////                                                              ////
9
////                                                              ////
10
////  Author(s):                                                  ////
11
////       Igor Mohor                                             ////
12
////       igorm@opencores.org                                    ////
13
////                                                              ////
14
////                                                              ////
15
////  All additional information is avaliable in the README.txt   ////
16
////  file.                                                       ////
17
////                                                              ////
18
//////////////////////////////////////////////////////////////////////
19
////                                                              ////
20
//// Copyright (C) 2000,2001 Authors                              ////
21
////                                                              ////
22
//// This source file may be used and distributed without         ////
23
//// restriction provided that this copyright statement is not    ////
24
//// removed from the file and that any derivative work contains  ////
25
//// the original copyright notice and the associated disclaimer. ////
26
////                                                              ////
27
//// This source file is free software; you can redistribute it   ////
28
//// and/or modify it under the terms of the GNU Lesser General   ////
29
//// Public License as published by the Free Software Foundation; ////
30
//// either version 2.1 of the License, or (at your option) any   ////
31
//// later version.                                               ////
32
////                                                              ////
33
//// This source is distributed in the hope that it will be       ////
34
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
35
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
36
//// PURPOSE.  See the GNU Lesser General Public License for more ////
37
//// details.                                                     ////
38
////                                                              ////
39
//// You should have received a copy of the GNU Lesser General    ////
40
//// Public License along with this source; if not, download it   ////
41
//// from http://www.opencores.org/lgpl.shtml                     ////
42
////                                                              ////
43
//////////////////////////////////////////////////////////////////////
44
//
45
// CVS Revision History
46
//
47
// $Log: not supported by cvs2svn $
48 20 mohor
// Revision 1.2  2001/10/19 11:40:02  mohor
49
// dbg_timescale.v changed to timescale.v This is done for the simulation of
50
// few different cores in a single project.
51
//
52 17 mohor
// Revision 1.1.1.1  2001/09/13 13:49:19  mohor
53
// Initial official release.
54 2 mohor
//
55
//
56
//
57
//
58 17 mohor
//
59 2 mohor
 
60 20 mohor
// synopsys translate_off
61 17 mohor
`include "timescale.v"
62 20 mohor
// synopsys translate_on
63 2 mohor
 
64
module dbg_register(DataIn, DataOut, Write, Clk, Reset, Default);
65
 
66
parameter WIDTH = 8; // default parameter of the register width
67
 
68
input [WIDTH-1:0] DataIn;
69
 
70
input Write;
71
input Clk;
72
input Reset;
73
input [WIDTH-1:0] Default;
74
 
75
output [WIDTH-1:0] DataOut;
76
reg    [WIDTH-1:0] DataOut;
77
 
78
//always @ (posedge Clk or posedge Reset)
79
always @ (posedge Clk)
80
begin
81
  if(Reset)
82
    DataOut[WIDTH-1:0]<=#1 Default;
83
  else
84
    begin
85
      if(Write)                         // write
86
        DataOut[WIDTH-1:0]<=#1 DataIn[WIDTH-1:0];
87
    end
88
end
89
 
90
 
91
endmodule   // Register
92
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.