OpenCores
URL https://opencores.org/ocsvn/dds_synthesizer/dds_synthesizer/trunk

Subversion Repositories dds_synthesizer

[/] [dds_synthesizer/] [trunk/] [matlab/] [sine_lut.m] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 plutonium
% This is the definition of the function to be generated as LUT
2
%
3
% Copyright (C) 2009 Martin Kumm
4
%
5
% This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License
6
% as published by the Free Software Foundation; either version 3 of the License, or (at your option) any later version.
7
%
8
% This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied
9
% warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
10
%
11
% You should have received a copy of the GNU General Public License along with this program;
12
% if not, see <http://www.gnu.org/licenses/>.
13
 
14
function ret = sine_lut(x)
15
global phase_width;
16
ret = sin((x-1)/2^phase_width * 2 * pi);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.