OpenCores
URL https://opencores.org/ocsvn/dds_synthesizer/dds_synthesizer/trunk

Subversion Repositories dds_synthesizer

[/] [dds_synthesizer/] [trunk/] [matlab/] [sine_lut_gen.m] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 plutonium
% This m-file generates the LUT files in '../VHDL/sine_lut'
2
%
3
% Copyright (C) 2009 Martin Kumm
4
%
5
% This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License
6
% as published by the Free Software Foundation; either version 3 of the License, or (at your option) any later version.
7
%
8
% This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied
9
% warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
10
%
11
% You should have received a copy of the GNU General Public License along with this program;
12
% if not, see <http://www.gnu.org/licenses/>.
13
 
14
functionhandle = @sine_lut;
15
global phase_width;
16
 
17
for phase_width=8:2:16
18
    for ampl_width=8:2:16
19
        generate_vhdl_lut('sine_lut','PHASE_WIDTH', phase_width, 'AMPL_WIDTH', ampl_width, functionhandle, 'sine_lut', '../VHDL/sine_lut')
20
    end;
21
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.