OpenCores
URL https://opencores.org/ocsvn/dds_synthesizer/dds_synthesizer/trunk

Subversion Repositories dds_synthesizer

[/] [dds_synthesizer/] [trunk/] [sim/] [dds_synthesizer.mpf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 plutonium
[Library]
2
 
3
; Altera specific primitive library mappings
4
 
5
vital2000 = $MODEL_TECH/../vital2000
6
ieee = $MODEL_TECH/../ieee
7
verilog = $MODEL_TECH/../verilog
8
std = $MODEL_TECH/../std
9
std_developerskit = $MODEL_TECH/../std_developerskit
10
synopsys = $MODEL_TECH/../synopsys
11
modelsim_lib = $MODEL_TECH/../modelsim_lib
12
apex20k = $MODEL_TECH/../altera/vhdl/apex20k
13
apex20ke = $MODEL_TECH/../altera/vhdl/apex20ke
14
apexii = $MODEL_TECH/../altera/vhdl/apexii
15
altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
16
altera = $MODEL_TECH/../altera/vhdl/altera
17
lpm = $MODEL_TECH/../altera/vhdl/220model
18
220model = $MODEL_TECH/../altera/vhdl/220model
19
alt_vtl = $MODEL_TECH/../altera/vhdl/alt_vtl
20
flex6000 = $MODEL_TECH/../altera/vhdl/flex6000
21
flex10ke = $MODEL_TECH/../altera/vhdl/flex10ke
22
max = $MODEL_TECH/../altera/vhdl/max
23
maxii = $MODEL_TECH/../altera/vhdl/maxii
24
stratix = $MODEL_TECH/../altera/vhdl/stratix
25
stratixii = $MODEL_TECH/../altera/vhdl/stratixii
26
cyclone = $MODEL_TECH/../altera/vhdl/cyclone
27
cycloneii = $MODEL_TECH/../altera/vhdl/cycloneii
28
cycloneiii = $MODEL_TECH/../altera/vhdl/cycloneiii
29
sgate = $MODEL_TECH/../altera/vhdl/sgate
30
apex20k_ver = $MODEL_TECH/../altera/verilog/apex20k
31
apex20ke_ver = $MODEL_TECH/../altera/verilog/apex20ke
32
apexii_ver = $MODEL_TECH/../altera/verilog/apexii
33
altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
34
altera_ver = $MODEL_TECH/../altera/verilog/altera
35
lpm_ver = $MODEL_TECH/../altera/verilog/220model
36
220model_ver = $MODEL_TECH/../altera/verilog/220model
37
alt_ver = $MODEL_TECH/../altera/verilog/alt_vtl
38
flex6000_ver = $MODEL_TECH/../altera/verilog/flex6000
39
flex10ke_ver = $MODEL_TECH/../altera/verilog/flex10ke
40
max_ver = $MODEL_TECH/../altera/verilog/max
41
maxii_ver = $MODEL_TECH/../altera/verilog/maxii
42
stratix_ver = $MODEL_TECH/../altera/verilog/stratix
43
stratixii_ver = $MODEL_TECH/../altera/verilog/stratixii
44
cyclone_ver = $MODEL_TECH/../altera/verilog/cyclone
45
cycloneii_ver = $MODEL_TECH/../altera/verilog/cycloneii
46
cycloneiii_ver = $MODEL_TECH/../altera/verilog/cycloneiii
47
sgate_ver = $MODEL_TECH/../altera/verilog/sgate
48
stratixiii_ver = $MODEL_TECH/../altera/verilog/stratixiii
49
stratixiii = $MODEL_TECH/../altera/vhdl/stratixiii
50
 
51
work = work
52
[vcom]
53
; Turn on VHDL-1993 as the default. Normally is off.
54
; VHDL93 = 1
55
 
56
; Show source line containing error. Default is off.
57
; Show_source = 1
58
 
59
; Turn off unbound-component warnings. Default is on.
60
; Show_Warning1 = 0
61
 
62
; Turn off process-without-a-wait-statement warnings. Default is on.
63
; Show_Warning2 = 0
64
 
65
; Turn off null-range warnings. Default is on.
66
; Show_Warning3 = 0
67
 
68
; Turn off no-space-in-time-literal warnings. Default is on.
69
; Show_Warning4 = 0
70
 
71
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
72
; Show_Warning5 = 0
73
 
74
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
75
; Optimize_1164 = 0
76
 
77
; Turn on resolving of ambiguous function overloading in favor of the
78
; "explicit" function declaration (not the one automatically created by
79
; the compiler for each type declaration). Default is off.
80
; .ini file has Explict enable so that std_logic_signed/unsigned
81
; will match synthesis tools behavior.
82
 Explicit = 1
83
 
84
; Turn off VITAL compliance checking. Default is checking on.
85
; NoVitalCheck = 1
86
 
87
; Ignore VITAL compliance checking errors. Default is to not ignore.
88
; IgnoreVitalErrors = 1
89
 
90
; Turn off VITAL compliance checking warnings. Default is to show warnings.
91
; Show_VitalChecksWarnings = false
92
 
93
; Turn off acceleration of the VITAL packages. Default is to accelerate.
94
; NoVital = 1
95
 
96
; Turn off inclusion of debugging info within design units. Default is to include.
97
; NoDebug = 1
98
 
99
; Turn off "loading..." messages. Default is messages on.
100
; Quiet = 1
101
 
102
; Turn on some limited synthesis rule compliance checking. Checks only:
103
;       -- signals used (read) by a process must be in the sensitivity list
104
; CheckSynthesis = 1
105
 
106
; Require the user to specify a configuration for all bindings,
107
; and do not generate a compile time default binding for the
108
; component. This will result in an elaboration error of
109
; 'component not bound' if the user fails to do so. Avoids the rare
110
; issue of a false dependency upon the unused default binding.
111
 
112
; RequireConfigForAllDefaultBinding = 1
113
 
114
[vlog]
115
 
116
; Turn off inclusion of debugging info within design units. Default is to include.
117
; NoDebug = 1
118
 
119
; Turn off "loading..." messages. Default is messages on.
120
; Quiet = 1
121
 
122
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
123
; Default is off.
124
; Hazard = 1
125
 
126
; Turn on converting regular Verilog identifiers to uppercase. Allows case
127
; insensitivity for module names. Default is no conversion.
128
; UpCase = 1
129
 
130
; Turns on incremental compilation of modules
131
; Incremental = 1
132
 
133
[vsim]
134
; Simulator resolution
135
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
136
resolution = 1ns
137
 
138
; User time unit for run commands
139
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
140
; unit specified for Resolution. For example, if Resolution is 100ps,
141
; then UserTimeUnit defaults to ps.
142
UserTimeUnit = default
143
 
144
; Default run length
145
RunLength = 100 us
146
 
147
; Maximum iterations that can be run without advancing simulation time
148
IterationLimit = 5000
149
 
150
; Directive to license manager:
151
; vhdl          Immediately reserve a VHDL license
152
; vlog          Immediately reserve a Verilog license
153
; plus          Immediately reserve a VHDL and Verilog license
154
; nomgc         Do not look for Mentor Graphics Licenses
155
; nomti         Do not look for Model Technology Licenses
156
; noqueue       Do not wait in the license queue when a license isn't available
157
; License = plus
158
 
159
; Stop the simulator after an assertion message
160
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
161
BreakOnAssertion = 3
162
 
163
; Assertion Message Format
164
; %S - Severity Level
165
; %R - Report Message
166
; %T - Time of assertion
167
; %D - Delta
168
; %I - Instance or Region pathname (if available)
169
; %% - print '%' character
170
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
171
 
172
; Assertion File - alternate file for storing assertion messages
173
; AssertFile = assert.log
174
 
175
; Default radix for all windows and commands...
176
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
177
DefaultRadix = symbolic
178
 
179
; VSIM Startup command
180
; Startup = do startup.do
181
 
182
; File for saving command transcript
183
TranscriptFile = transcript
184
 
185
; File for saving command history
186
;CommandHistory = cmdhist.log
187
 
188
; Specify whether paths in simulator commands should be described
189
; in VHDL or Verilog format. For VHDL, PathSeparator = /
190
; for Verilog, PathSeparator = .
191
PathSeparator = /
192
 
193
; Specify the dataset separator for fully rooted contexts.
194
; The default is ':'. For example, sim:/top
195
; Must not be the same character as PathSeparator.
196
DatasetSeparator = :
197
 
198
; Disable assertion messages
199
; IgnoreNote = 1
200
; IgnoreWarning = 1
201
; IgnoreError = 1
202
; IgnoreFailure = 1
203
 
204
; Default force kind. May be freeze, drive, or deposit
205
; or in other terms, fixed, wired or charged.
206
; DefaultForceKind = freeze
207
 
208
; If zero, open files when elaborated
209
; else open files on first read or write
210
; DelayFileOpen = 0
211
 
212
; Control VHDL files opened for write
213
;   0 = Buffered, 1 = Unbuffered
214
UnbufferedOutput = 0
215
 
216
; Control number of VHDL files open concurrently
217
;   This number should always be less then the
218
;   current ulimit setting for max file descriptors
219
;   0 = unlimited
220
ConcurrentFileLimit = 40
221
 
222
; This controls the number of hierarchical regions displayed as
223
; part of a signal name shown in the waveform window.  The default
224
; value or a value of zero tells VSIM to display the full name.
225
; WaveSignalNameWidth = 0
226
 
227
; Turn off warnings from the std_logic_arith, std_logic_unsigned
228
; and std_logic_signed packages.
229
; StdArithNoWarnings = 1
230
 
231
; Turn off warnings from the IEEE numeric_std and numeric_bit
232
; packages.
233
; NumericStdNoWarnings = 1
234
 
235
; Control the format of a generate statement label. Don't quote it.
236
; GenerateFormat = %s__%d
237
 
238
; Specify whether checkpoint files should be compressed.
239
; The default is to be compressed.
240
; CheckpointCompressMode = 0
241
 
242
; List of dynamically loaded objects for Verilog PLI applications
243
; Veriuser = veriuser.sl
244
[Project]
245
Project_Version = 6
246
Project_DefaultLib = work
247
Project_SortMethod = unused
248
Project_Files_Count = 3
249
Project_File_0 = ../VHDL/dds_synthesizer.vhd
250
Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_enable0In 0 vhdl_synth 0 folder {Top Level} last_compile 1179924801 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order 0 cover_nosub 0 dont_compile 0 vhdl_use93 2002
251
Project_File_1 = ../VHDL/sine_lut/sine_lut_14_x_16.vhd
252
Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 folder {Top Level} last_compile 1179925232 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 1 compile_to work compile_order 2 dont_compile 0 vhdl_use93 2002
253
Project_File_2 = ../VHDL/dds_synthesizer_tb.vhd
254
Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_enable0In 0 vhdl_synth 0 folder {Top Level} last_compile 1179924316 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_options {} vhdl_warn4 1 ood 1 vhdl_warn5 1 compile_to work compile_order 1 dont_compile 0 cover_nosub 0 vhdl_use93 2002
255
Project_Sim_Count = 0
256
Project_Folder_Count = 0
257
Echo_Compile_Output = 1
258
Save_Compile_Report = 1
259
Project_Opt_Count = 0
260
ForceSoftPaths = 0
261
ReOpenSourceFiles = 1
262
VERILOG_DoubleClick = Edit
263
VERILOG_CustomDoubleClick =
264
VHDL_DoubleClick = Edit
265
VHDL_CustomDoubleClick =
266
PSL_DoubleClick = Edit
267
PSL_CustomDoubleClick =
268
TEXT_DoubleClick = Edit
269
TEXT_CustomDoubleClick =
270
SYSTEMC_DoubleClick = Edit
271
SYSTEMC_CustomDoubleClick =
272
TCL_DoubleClick = Edit
273
TCL_CustomDoubleClick =
274
MACRO_DoubleClick = Edit
275
MACRO_CustomDoubleClick =
276
VCD_DoubleClick = Edit
277
VCD_CustomDoubleClick =
278
SDF_DoubleClick = Edit
279
SDF_CustomDoubleClick =
280
XML_DoubleClick = Edit
281
XML_CustomDoubleClick =
282
LOGFILE_DoubleClick = Edit
283
LOGFILE_CustomDoubleClick =
284
EditorState =
285
Project_Major_Version = 6
286
Project_Minor_Version = 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.