OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys_top.par] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 jdoin
Release 13.1 par O.40d (nt)
2
Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved.
3
 
4 7 jdoin
DEVELOP-W7::  Thu Aug 11 21:31:46 2011
5 6 jdoin
 
6
par -w -intstyle ise -ol high -xe n -mt 4 debounce_atlys_top_map.ncd
7
debounce_atlys_top.ncd debounce_atlys_top.pcf
8
 
9
 
10
Constraints file: debounce_atlys_top.pcf.
11
Loading device for application Rf_Device from file '6slx45.nph' in environment C:\Xilinx\13.1\ISE_DS\ISE\.
12
   "debounce_atlys_top" is an NCD, version 3.2, device xc6slx45, package csg324, speed -2
13
 
14
Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius)
15
Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts)
16
 
17
INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par
18
   -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all
19
   internal clocks in this design. Because there are not defined timing requirements, a timing score will not be
20
   reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock.
21
   Note: For the fastest runtime, set the effort level to "std".  For best performance, set the effort level to "high".
22
 
23
Device speed data version:  "PRODUCTION 1.18 2011-04-07".
24
 
25
 
26
 
27
Device Utilization Summary:
28
 
29
Slice Logic Utilization:
30 7 jdoin
  Number of Slice Registers:                    42 out of  54,576    1%
31
    Number used as Flip Flops:                  42
32 6 jdoin
    Number used as Latches:                      0
33
    Number used as Latch-thrus:                  0
34
    Number used as AND/OR logics:                0
35 7 jdoin
  Number of Slice LUTs:                         37 out of  27,288    1%
36
    Number used as logic:                       36 out of  27,288    1%
37 6 jdoin
      Number using O6 output only:              18
38 7 jdoin
      Number using O5 output only:              11
39
      Number using O5 and O6:                    7
40 6 jdoin
      Number used as ROM:                        0
41
    Number used as Memory:                       0 out of   6,408    0%
42 7 jdoin
    Number used exclusively as route-thrus:      1
43
      Number with same-slice register load:      0
44 6 jdoin
      Number with same-slice carry load:         1
45
      Number with other load:                    0
46
 
47
Slice Logic Distribution:
48 7 jdoin
  Number of occupied Slices:                    19 out of   6,822    1%
49
  Number of LUT Flip Flop pairs used:           56
50
    Number with an unused Flip Flop:            20 out of      56   35%
51
    Number with an unused LUT:                  19 out of      56   33%
52
    Number of fully used LUT-FF pairs:          17 out of      56   30%
53 6 jdoin
    Number of slice register sites lost
54
      to control set restrictions:               0 out of  54,576    0%
55
 
56
  A LUT Flip Flop pair for this architecture represents one LUT paired with
57
  one Flip Flop within a slice.  A control set is a unique combination of
58
  clock, reset, set, and enable signals for a registered element.
59
  The Slice Logic Distribution report is not meaningful if the design is
60
  over-mapped for a non-slice resource or if Placement fails.
61
 
62
IO Utilization:
63 7 jdoin
  Number of bonded IOBs:                        31 out of     218   14%
64
    Number of LOCed IOBs:                       31 out of      31  100%
65 6 jdoin
 
66
Specific Feature Utilization:
67
  Number of RAMB16BWERs:                         0 out of     116    0%
68
  Number of RAMB8BWERs:                          0 out of     232    0%
69
  Number of BUFIO2/BUFIO2_2CLKs:                 0 out of      32    0%
70
  Number of BUFIO2FB/BUFIO2FB_2CLKs:             0 out of      32    0%
71
  Number of BUFG/BUFGMUXs:                       1 out of      16    6%
72
    Number used as BUFGs:                        1
73
    Number used as BUFGMUX:                      0
74
  Number of DCM/DCM_CLKGENs:                     0 out of       8    0%
75
  Number of ILOGIC2/ISERDES2s:                   0 out of     376    0%
76
  Number of IODELAY2/IODRP2/IODRP2_MCBs:         0 out of     376    0%
77
  Number of OLOGIC2/OSERDES2s:                   0 out of     376    0%
78
  Number of BSCANs:                              0 out of       4    0%
79
  Number of BUFHs:                               0 out of     256    0%
80
  Number of BUFPLLs:                             0 out of       8    0%
81
  Number of BUFPLL_MCBs:                         0 out of       4    0%
82
  Number of DSP48A1s:                            0 out of      58    0%
83
  Number of ICAPs:                               0 out of       1    0%
84
  Number of MCBs:                                0 out of       2    0%
85
  Number of PCILOGICSEs:                         0 out of       2    0%
86
  Number of PLL_ADVs:                            0 out of       4    0%
87
  Number of PMVs:                                0 out of       1    0%
88
  Number of STARTUPs:                            0 out of       1    0%
89
  Number of SUSPEND_SYNCs:                       0 out of       1    0%
90
 
91
 
92
Overall effort level (-ol):   High
93
Router effort level (-rl):    High
94
 
95
WARNING:Par:545 - Multi-threading ("-mt" option) is not supported for the Performance Evaluation Mode. PAR will use only one processor.
96
 
97
Starting initial Timing Analysis.  REAL time: 4 secs
98
Finished initial Timing Analysis.  REAL time: 4 secs
99
 
100
Starting Router
101
 
102
 
103 7 jdoin
Phase  1  : 199 unrouted;      REAL time: 5 secs
104 6 jdoin
 
105 7 jdoin
Phase  2  : 168 unrouted;      REAL time: 5 secs
106 6 jdoin
 
107 7 jdoin
Phase  3  : 46 unrouted;      REAL time: 6 secs
108 6 jdoin
 
109 7 jdoin
Phase  4  : 46 unrouted; (Par is working to improve performance)     REAL time: 7 secs
110 6 jdoin
 
111
Updating file: debounce_atlys_top.ncd with current fully routed design.
112
 
113
Phase  5  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
114
 
115
Phase  6  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
116
 
117
Phase  7  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
118
 
119
Phase  8  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
120
 
121
Phase  9  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
122
 
123
Phase 10  : 0 unrouted; (Par is working to improve performance)     REAL time: 7 secs
124
Total REAL time to Router completion: 7 secs
125
Total CPU time to Router completion: 7 secs
126
 
127
Partition Implementation Status
128
-------------------------------
129
 
130
  No Partitions were found in this design.
131
 
132
-------------------------------
133
 
134
Generating "PAR" statistics.
135
INFO:Par:459 - The Clock Report is not displayed in the non timing-driven mode.
136
Timing Score: 0 (Setup: 0, Hold: 0)
137
 
138
Asterisk (*) preceding a constraint indicates it was not met.
139
   This may be due to a setup or hold violation.
140
 
141
----------------------------------------------------------------------------------------------------------
142
  Constraint                                |    Check    | Worst Case |  Best Case | Timing |   Timing
143
                                            |             |    Slack   | Achievable | Errors |    Score
144
----------------------------------------------------------------------------------------------------------
145 7 jdoin
  Autotimespec constraint for clock net gcl | SETUP       |         N/A|     4.423ns|     N/A|           0
146
  k_i_BUFGP                                 | HOLD        |     0.424ns|            |       0|           0
147 6 jdoin
----------------------------------------------------------------------------------------------------------
148
 
149
 
150
All constraints were met.
151
INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the
152
   constraint is not analyzed due to the following: No paths covered by this
153
   constraint; Other constraints intersect with this constraint; or This
154
   constraint was disabled by a Path Tracing Control. Please run the Timespec
155
   Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI.
156
 
157
 
158
Generating Pad Report.
159
 
160
All signals are completely routed.
161
 
162
Total REAL time to PAR completion: 8 secs
163
Total CPU time to PAR completion: 8 secs
164
 
165 7 jdoin
Peak Memory Usage:  259 MB
166 6 jdoin
 
167
Placer: Placement generated during map.
168
Routing: Completed - No errors found.
169
 
170
Number of error messages: 0
171
Number of warning messages: 1
172
Number of info messages: 2
173
 
174
Writing design to file debounce_atlys_top.ncd
175
 
176
 
177
 
178
PAR done!

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.