OpenCores
URL https://opencores.org/ocsvn/debouncer_vhdl/debouncer_vhdl/trunk

Subversion Repositories debouncer_vhdl

[/] [debouncer_vhdl/] [trunk/] [bench/] [debounce_atlys_top_summary.html] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jdoin
<HTML><HEAD><TITLE>Xilinx Design Summary</TITLE></HEAD>
2
<BODY TEXT='#000000' BGCOLOR='#FFFFFF' LINK='#0000EE' VLINK='#551A8B' ALINK='#FF0000'>
3
<TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
4
<TR ALIGN=CENTER BGCOLOR='#99CCFF'>
5 8 jdoin
<TD ALIGN=CENTER COLSPAN='4'><B>debounce_atlys_top Project Status (08/15/2011 - 23:26:18)</B></TD></TR>
6 3 jdoin
<TR ALIGN=LEFT>
7
<TD BGCOLOR='#FFFF99'><B>Project File:</B></TD>
8
<TD>debounce_vhdl_bench.xise</TD>
9
<TD BGCOLOR='#FFFF99'><b>Parser Errors:</b></TD>
10 5 jdoin
<TD> No Errors </TD>
11 3 jdoin
</TR>
12
<TR ALIGN=LEFT>
13
<TD BGCOLOR='#FFFF99'><B>Module Name:</B></TD>
14
<TD>debounce_atlys_top</TD>
15
<TD BGCOLOR='#FFFF99'><B>Implementation State:</B></TD>
16 6 jdoin
<TD>Programming File Generated</TD>
17 3 jdoin
</TR>
18
<TR ALIGN=LEFT>
19
<TD BGCOLOR='#FFFF99'><B>Target Device:</B></TD>
20
<TD>xc6slx45-2csg324</TD>
21
<TD BGCOLOR='#FFFF99'><UL><LI><B>Errors:</B></LI></UL></TD>
22 6 jdoin
<TD>
23
No Errors</TD>
24 3 jdoin
</TR>
25
<TR ALIGN=LEFT>
26
<TD BGCOLOR='#FFFF99'><B>Product Version:</B></TD><TD>ISE 13.1</TD>
27
<TD BGCOLOR='#FFFF99'><UL><LI><B>Warnings:</B></LI></UL></TD>
28 7 jdoin
<TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/*.xmsgs?&DataKey=Warning'>3 Warnings (3 new)</A></TD>
29 3 jdoin
</TR>
30
<TR ALIGN=LEFT>
31
<TD BGCOLOR='#FFFF99'><B>Design Goal:</B></dif></TD>
32
<TD>Balanced</TD>
33
<TD BGCOLOR='#FFFF99'><UL><LI><B>Routing Results:</B></LI></UL></TD>
34
<TD>
35 6 jdoin
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.unroutes'>All Signals Completely Routed</A></TD>
36 3 jdoin
</TR>
37
<TR ALIGN=LEFT>
38
<TD BGCOLOR='#FFFF99'><B>Design Strategy:</B></dif></TD>
39
<TD><A HREF_DISABLED='Xilinx Default (unlocked)?&DataKey=Strategy'>Xilinx Default (unlocked)</A></TD>
40
<TD BGCOLOR='#FFFF99'><UL><LI><B>Timing Constraints:</B></LI></UL></TD>
41 6 jdoin
<TD>
42
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
43 3 jdoin
</TR>
44
<TR ALIGN=LEFT>
45
<TD BGCOLOR='#FFFF99'><B>Environment:</B></dif></TD>
46 6 jdoin
<TD>
47
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_envsettings.html'>
48
System Settings</A>
49
</TD>
50 3 jdoin
<TD BGCOLOR='#FFFF99'><UL><LI><B>Final Timing Score:</B></LI></UL></TD>
51 6 jdoin
<TD>0 &nbsp;<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.twx?&DataKey=XmlTimingReport'>(Timing Report)</A></TD>
52 3 jdoin
</TR>
53
</TABLE>
54
 
55
 
56
 
57 6 jdoin
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
58
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='5'><B>Device Utilization Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DeviceUtilizationSummary"><B>[-]</B></a></TD></TR>
59
<TR ALIGN=CENTER BGCOLOR='#FFFF99'>
60
<TD ALIGN=LEFT><B>Slice Logic Utilization</B></TD><TD><B>Used</B></TD><TD><B>Available</B></TD><TD><B>Utilization</B></TD><TD COLSPAN='2'><B>Note(s)</B></TD>
61
</TR>
62
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice Registers</TD>
63 7 jdoin
<TD ALIGN=RIGHT>42</TD>
64 6 jdoin
<TD ALIGN=RIGHT>54,576</TD>
65
<TD ALIGN=RIGHT>1%</TD>
66
<TD COLSPAN='2'>&nbsp;</TD>
67
</TR>
68
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Flip Flops</TD>
69 7 jdoin
<TD ALIGN=RIGHT>42</TD>
70 6 jdoin
<TD>&nbsp;</TD>
71
<TD>&nbsp;</TD>
72
<TD COLSPAN='2'>&nbsp;</TD>
73
</TR>
74
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latches</TD>
75
<TD ALIGN=RIGHT>0</TD>
76
<TD>&nbsp;</TD>
77
<TD>&nbsp;</TD>
78
<TD COLSPAN='2'>&nbsp;</TD>
79
</TR>
80
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Latch-thrus</TD>
81
<TD ALIGN=RIGHT>0</TD>
82
<TD>&nbsp;</TD>
83
<TD>&nbsp;</TD>
84
<TD COLSPAN='2'>&nbsp;</TD>
85
</TR>
86
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as AND/OR logics</TD>
87
<TD ALIGN=RIGHT>0</TD>
88
<TD>&nbsp;</TD>
89
<TD>&nbsp;</TD>
90
<TD COLSPAN='2'>&nbsp;</TD>
91
</TR>
92
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of Slice LUTs</TD>
93 7 jdoin
<TD ALIGN=RIGHT>37</TD>
94 6 jdoin
<TD ALIGN=RIGHT>27,288</TD>
95
<TD ALIGN=RIGHT>1%</TD>
96
<TD COLSPAN='2'>&nbsp;</TD>
97
</TR>
98
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as logic</TD>
99 7 jdoin
<TD ALIGN=RIGHT>36</TD>
100 6 jdoin
<TD ALIGN=RIGHT>27,288</TD>
101
<TD ALIGN=RIGHT>1%</TD>
102
<TD COLSPAN='2'>&nbsp;</TD>
103
</TR>
104
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O6 output only</TD>
105
<TD ALIGN=RIGHT>18</TD>
106
<TD>&nbsp;</TD>
107
<TD>&nbsp;</TD>
108
<TD COLSPAN='2'>&nbsp;</TD>
109
</TR>
110
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 output only</TD>
111 7 jdoin
<TD ALIGN=RIGHT>11</TD>
112 6 jdoin
<TD>&nbsp;</TD>
113
<TD>&nbsp;</TD>
114
<TD COLSPAN='2'>&nbsp;</TD>
115
</TR>
116
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number using O5 and O6</TD>
117 7 jdoin
<TD ALIGN=RIGHT>7</TD>
118 6 jdoin
<TD>&nbsp;</TD>
119
<TD>&nbsp;</TD>
120
<TD COLSPAN='2'>&nbsp;</TD>
121
</TR>
122
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number used as ROM</TD>
123
<TD ALIGN=RIGHT>0</TD>
124
<TD>&nbsp;</TD>
125
<TD>&nbsp;</TD>
126
<TD COLSPAN='2'>&nbsp;</TD>
127
</TR>
128
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as Memory</TD>
129
<TD ALIGN=RIGHT>0</TD>
130
<TD ALIGN=RIGHT>6,408</TD>
131
<TD ALIGN=RIGHT>0%</TD>
132
<TD COLSPAN='2'>&nbsp;</TD>
133
</TR>
134
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used exclusively as route-thrus</TD>
135 7 jdoin
<TD ALIGN=RIGHT>1</TD>
136 6 jdoin
<TD>&nbsp;</TD>
137
<TD>&nbsp;</TD>
138
<TD COLSPAN='2'>&nbsp;</TD>
139
</TR>
140
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with same-slice register load</TD>
141 7 jdoin
<TD ALIGN=RIGHT>0</TD>
142 6 jdoin
<TD>&nbsp;</TD>
143
<TD>&nbsp;</TD>
144
<TD COLSPAN='2'>&nbsp;</TD>
145
</TR>
146
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with same-slice carry load</TD>
147
<TD ALIGN=RIGHT>1</TD>
148
<TD>&nbsp;</TD>
149
<TD>&nbsp;</TD>
150
<TD COLSPAN='2'>&nbsp;</TD>
151
</TR>
152
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Number with other load</TD>
153
<TD ALIGN=RIGHT>0</TD>
154
<TD>&nbsp;</TD>
155
<TD>&nbsp;</TD>
156
<TD COLSPAN='2'>&nbsp;</TD>
157
</TR>
158
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of occupied Slices</TD>
159 7 jdoin
<TD ALIGN=RIGHT>19</TD>
160 6 jdoin
<TD ALIGN=RIGHT>6,822</TD>
161
<TD ALIGN=RIGHT>1%</TD>
162
<TD COLSPAN='2'>&nbsp;</TD>
163
</TR>
164
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of LUT Flip Flop pairs used</TD>
165 7 jdoin
<TD ALIGN=RIGHT>56</TD>
166 6 jdoin
<TD>&nbsp;</TD>
167
<TD>&nbsp;</TD>
168
<TD COLSPAN='2'>&nbsp;</TD>
169
</TR>
170
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused Flip Flop</TD>
171 7 jdoin
<TD ALIGN=RIGHT>20</TD>
172
<TD ALIGN=RIGHT>56</TD>
173
<TD ALIGN=RIGHT>35%</TD>
174 6 jdoin
<TD COLSPAN='2'>&nbsp;</TD>
175
</TR>
176
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number with an unused LUT</TD>
177 7 jdoin
<TD ALIGN=RIGHT>19</TD>
178
<TD ALIGN=RIGHT>56</TD>
179
<TD ALIGN=RIGHT>33%</TD>
180 6 jdoin
<TD COLSPAN='2'>&nbsp;</TD>
181
</TR>
182
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of fully used LUT-FF pairs</TD>
183 7 jdoin
<TD ALIGN=RIGHT>17</TD>
184
<TD ALIGN=RIGHT>56</TD>
185
<TD ALIGN=RIGHT>30%</TD>
186 6 jdoin
<TD COLSPAN='2'>&nbsp;</TD>
187
</TR>
188
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of unique control sets</TD>
189
<TD ALIGN=RIGHT>3</TD>
190
<TD>&nbsp;</TD>
191
<TD>&nbsp;</TD>
192
<TD COLSPAN='2'>&nbsp;</TD>
193
</TR>
194
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of slice register sites lost<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;to control set restrictions</TD>
195 7 jdoin
<TD ALIGN=RIGHT>6</TD>
196 6 jdoin
<TD ALIGN=RIGHT>54,576</TD>
197
<TD ALIGN=RIGHT>1%</TD>
198
<TD COLSPAN='2'>&nbsp;</TD>
199
</TR>
200
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of bonded <A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_map.xrpt?&DataKey=IOBProperties'>IOBs</A></TD>
201 7 jdoin
<TD ALIGN=RIGHT>31</TD>
202 6 jdoin
<TD ALIGN=RIGHT>218</TD>
203 7 jdoin
<TD ALIGN=RIGHT>14%</TD>
204 6 jdoin
<TD COLSPAN='2'>&nbsp;</TD>
205
</TR>
206
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number of LOCed IOBs</TD>
207 7 jdoin
<TD ALIGN=RIGHT>31</TD>
208
<TD ALIGN=RIGHT>31</TD>
209 6 jdoin
<TD ALIGN=RIGHT>100%</TD>
210
<TD COLSPAN='2'>&nbsp;</TD>
211
</TR>
212
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB16BWERs</TD>
213
<TD ALIGN=RIGHT>0</TD>
214
<TD ALIGN=RIGHT>116</TD>
215
<TD ALIGN=RIGHT>0%</TD>
216
<TD COLSPAN='2'>&nbsp;</TD>
217
</TR>
218
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of RAMB8BWERs</TD>
219
<TD ALIGN=RIGHT>0</TD>
220
<TD ALIGN=RIGHT>232</TD>
221
<TD ALIGN=RIGHT>0%</TD>
222
<TD COLSPAN='2'>&nbsp;</TD>
223
</TR>
224
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2/BUFIO2_2CLKs</TD>
225
<TD ALIGN=RIGHT>0</TD>
226
<TD ALIGN=RIGHT>32</TD>
227
<TD ALIGN=RIGHT>0%</TD>
228
<TD COLSPAN='2'>&nbsp;</TD>
229
</TR>
230
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFIO2FB/BUFIO2FB_2CLKs</TD>
231
<TD ALIGN=RIGHT>0</TD>
232
<TD ALIGN=RIGHT>32</TD>
233
<TD ALIGN=RIGHT>0%</TD>
234
<TD COLSPAN='2'>&nbsp;</TD>
235
</TR>
236
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFG/BUFGMUXs</TD>
237
<TD ALIGN=RIGHT>1</TD>
238
<TD ALIGN=RIGHT>16</TD>
239
<TD ALIGN=RIGHT>6%</TD>
240
<TD COLSPAN='2'>&nbsp;</TD>
241
</TR>
242
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGs</TD>
243
<TD ALIGN=RIGHT>1</TD>
244
<TD>&nbsp;</TD>
245
<TD>&nbsp;</TD>
246
<TD COLSPAN='2'>&nbsp;</TD>
247
</TR>
248
<TR ALIGN=RIGHT><TD ALIGN=LEFT>&nbsp;&nbsp;&nbsp;&nbsp;Number used as BUFGMUX</TD>
249
<TD ALIGN=RIGHT>0</TD>
250
<TD>&nbsp;</TD>
251
<TD>&nbsp;</TD>
252
<TD COLSPAN='2'>&nbsp;</TD>
253
</TR>
254
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DCM/DCM_CLKGENs</TD>
255
<TD ALIGN=RIGHT>0</TD>
256
<TD ALIGN=RIGHT>8</TD>
257
<TD ALIGN=RIGHT>0%</TD>
258
<TD COLSPAN='2'>&nbsp;</TD>
259
</TR>
260
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ILOGIC2/ISERDES2s</TD>
261
<TD ALIGN=RIGHT>0</TD>
262
<TD ALIGN=RIGHT>376</TD>
263
<TD ALIGN=RIGHT>0%</TD>
264
<TD COLSPAN='2'>&nbsp;</TD>
265
</TR>
266
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of IODELAY2/IODRP2/IODRP2_MCBs</TD>
267
<TD ALIGN=RIGHT>0</TD>
268
<TD ALIGN=RIGHT>376</TD>
269
<TD ALIGN=RIGHT>0%</TD>
270
<TD COLSPAN='2'>&nbsp;</TD>
271
</TR>
272
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of OLOGIC2/OSERDES2s</TD>
273
<TD ALIGN=RIGHT>0</TD>
274
<TD ALIGN=RIGHT>376</TD>
275
<TD ALIGN=RIGHT>0%</TD>
276
<TD COLSPAN='2'>&nbsp;</TD>
277
</TR>
278
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BSCANs</TD>
279
<TD ALIGN=RIGHT>0</TD>
280
<TD ALIGN=RIGHT>4</TD>
281
<TD ALIGN=RIGHT>0%</TD>
282
<TD COLSPAN='2'>&nbsp;</TD>
283
</TR>
284
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFHs</TD>
285
<TD ALIGN=RIGHT>0</TD>
286
<TD ALIGN=RIGHT>256</TD>
287
<TD ALIGN=RIGHT>0%</TD>
288
<TD COLSPAN='2'>&nbsp;</TD>
289
</TR>
290
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLLs</TD>
291
<TD ALIGN=RIGHT>0</TD>
292
<TD ALIGN=RIGHT>8</TD>
293
<TD ALIGN=RIGHT>0%</TD>
294
<TD COLSPAN='2'>&nbsp;</TD>
295
</TR>
296
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of BUFPLL_MCBs</TD>
297
<TD ALIGN=RIGHT>0</TD>
298
<TD ALIGN=RIGHT>4</TD>
299
<TD ALIGN=RIGHT>0%</TD>
300
<TD COLSPAN='2'>&nbsp;</TD>
301
</TR>
302
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of DSP48A1s</TD>
303
<TD ALIGN=RIGHT>0</TD>
304
<TD ALIGN=RIGHT>58</TD>
305
<TD ALIGN=RIGHT>0%</TD>
306
<TD COLSPAN='2'>&nbsp;</TD>
307
</TR>
308
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of ICAPs</TD>
309
<TD ALIGN=RIGHT>0</TD>
310
<TD ALIGN=RIGHT>1</TD>
311
<TD ALIGN=RIGHT>0%</TD>
312
<TD COLSPAN='2'>&nbsp;</TD>
313
</TR>
314
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of MCBs</TD>
315
<TD ALIGN=RIGHT>0</TD>
316
<TD ALIGN=RIGHT>2</TD>
317
<TD ALIGN=RIGHT>0%</TD>
318
<TD COLSPAN='2'>&nbsp;</TD>
319
</TR>
320
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PCILOGICSEs</TD>
321
<TD ALIGN=RIGHT>0</TD>
322
<TD ALIGN=RIGHT>2</TD>
323
<TD ALIGN=RIGHT>0%</TD>
324
<TD COLSPAN='2'>&nbsp;</TD>
325
</TR>
326
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PLL_ADVs</TD>
327
<TD ALIGN=RIGHT>0</TD>
328
<TD ALIGN=RIGHT>4</TD>
329
<TD ALIGN=RIGHT>0%</TD>
330
<TD COLSPAN='2'>&nbsp;</TD>
331
</TR>
332
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of PMVs</TD>
333
<TD ALIGN=RIGHT>0</TD>
334
<TD ALIGN=RIGHT>1</TD>
335
<TD ALIGN=RIGHT>0%</TD>
336
<TD COLSPAN='2'>&nbsp;</TD>
337
</TR>
338
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of STARTUPs</TD>
339
<TD ALIGN=RIGHT>0</TD>
340
<TD ALIGN=RIGHT>1</TD>
341
<TD ALIGN=RIGHT>0%</TD>
342
<TD COLSPAN='2'>&nbsp;</TD>
343
</TR>
344
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Number of SUSPEND_SYNCs</TD>
345
<TD ALIGN=RIGHT>0</TD>
346
<TD ALIGN=RIGHT>1</TD>
347
<TD ALIGN=RIGHT>0%</TD>
348
<TD COLSPAN='2'>&nbsp;</TD>
349
</TR>
350
<TR ALIGN=RIGHT><TD ALIGN=LEFT>Average Fanout of Non-Clock Nets</TD>
351 7 jdoin
<TD ALIGN=RIGHT>2.37</TD>
352 6 jdoin
<TD>&nbsp;</TD>
353
<TD>&nbsp;</TD>
354
<TD COLSPAN='2'>&nbsp;</TD>
355
</TR>
356
</TABLE>
357 3 jdoin
 
358
 
359
 
360 6 jdoin
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
361
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='4'><B>Performance Summary</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=PerformanceSummary"><B>[-]</B></a></TD></TR>
362
<TR ALIGN=LEFT>
363
<TD BGCOLOR='#FFFF99'><B>Final Timing Score:</B></TD>
364
<TD>0 (Setup: 0, Hold: 0)</TD>
365
<TD BGCOLOR='#FFFF99'><B>Pinout Data:</B></TD>
366
<TD COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_par.xrpt?&DataKey=PinoutData'>Pinout Report</A></TD>
367
</TR>
368
<TR ALIGN=LEFT>
369
<TD BGCOLOR='#FFFF99'><B>Routing Results:</B></TD><TD>
370
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.unroutes'>All Signals Completely Routed</A></TD>
371
<TD BGCOLOR='#FFFF99'><B>Clock Data:</B></TD>
372
<TD COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_par.xrpt?&DataKey=ClocksData'>Clock Report</A></TD>
373
</TR>
374
<TR ALIGN=LEFT>
375
<TD BGCOLOR='#FFFF99'><B>Timing Constraints:</B></TD>
376
<TD>
377
<A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.ptwx?&DataKey=ConstraintsData'>All Constraints Met</A></TD>
378
<TD BGCOLOR='#FFFF99'><B>&nbsp;</B></TD>
379
<TD COLSPAN='2'>&nbsp;</TD>
380
</TABLE>
381 3 jdoin
 
382
 
383
 
384
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
385
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='6'><B>Detailed Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=DetailedReports"><B>[-]</B></a></TD></TR>
386
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD><B>Generated</B></TD>
387
<TD ALIGN=LEFT><B>Errors</B></TD><TD ALIGN=LEFT><B>Warnings</B></TD><TD ALIGN=LEFT COLSPAN='2'><B>Infos</B></TD></TR>
388 8 jdoin
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.syr'>Synthesis Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:25:02 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/xst.xmsgs?&DataKey=Warning'>2 Warnings (2 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
389
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.bld'>Translation Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:25:16 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
390
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_map.mrp'>Map Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:25:36 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/map.xmsgs?&DataKey=Info'>9 Infos (9 new)</A></TD></TR>
391
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.par'>Place and Route Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:25:47 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/par.xmsgs?&DataKey=Warning'>1 Warning (1 new)</A></TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/par.xmsgs?&DataKey=Info'>4 Infos (4 new)</A></TD></TR>
392 3 jdoin
<TR ALIGN=LEFT><TD>Power Report</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD>&nbsp;</TD><TD COLSPAN='2'>&nbsp;</TD></TR>
393 8 jdoin
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.twr'>Post-PAR Static Timing Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:25:54 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\_xmsgs/trce.xmsgs?&DataKey=Info'>3 Infos (3 new)</A></TD></TR>
394
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top.bgn'>Bitgen Report</A></TD><TD>Current</TD><TD>Mon Aug 15 23:26:11 2011</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT>0</TD><TD ALIGN=LEFT COLSPAN='2'>0</TD></TR>
395 3 jdoin
</TABLE>
396
&nbsp;<BR><TABLE BORDER CELLSPACING=0 CELLPADDING=3 WIDTH='100%'>
397
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD ALIGN=CENTER COLSPAN='3'><B>Secondary Reports</B></TD><TD ALIGN=RIGHT WIDTH='10%'COLSPAN=1> <A HREF_DISABLED="?&ExpandedTable=SecondaryReports"><B>[-]</B></a></TD></TR>
398
<TR BGCOLOR='#FFFF99'><TD><B>Report Name</B></TD><TD><B>Status</B></TD><TD COLSPAN='2'><B>Generated</B></TD></TR>
399 8 jdoin
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\debounce_atlys_top_map.psr'>Physical Synthesis Report</A></TD><TD>Out of Date</TD><TD COLSPAN='2'>Mon Aug 15 23:25:35 2011</TD></TR>
400
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\usage_statistics_webtalk.html'>WebTalk Report</A></TD><TD>Current</TD><TD COLSPAN='2'>Mon Aug 15 23:26:12 2011</TD></TR>
401
<TR ALIGN=LEFT><TD><A HREF_DISABLED='C:/dropbox/Dropbox/VHDL_training/OpenCores/debouncer_vhdl/debouncer_vhdl/trunk/bench\webtalk.log'>WebTalk Log File</A></TD><TD>Current</TD><TD COLSPAN='2'>Mon Aug 15 23:26:18 2011</TD></TR>
402 3 jdoin
</TABLE>
403
 
404
 
405 8 jdoin
<br><center><b>Date Generated:</b> 08/15/2011 - 23:26:18</center>
406 3 jdoin
</BODY></HTML>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.