OpenCores
URL https://opencores.org/ocsvn/des/des/trunk

Subversion Repositories des

[/] [des/] [trunk/] [rtl/] [verilog/] [area_opt/] [key_sel3.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  KEY_SEL                                                    ////
4
////  Select one of 16 sub-keys for round                        ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
/////////////////////////////////////////////////////////////////////
10
////                                                             ////
11
//// Copyright (C) 2001 Rudolf Usselmann                         ////
12
////                    rudi@asics.ws                            ////
13
////                                                             ////
14
//// This source file may be used and distributed without        ////
15
//// restriction provided that this copyright statement is not   ////
16
//// removed from the file and that any derivative work contains ////
17
//// the original copyright notice and the associated disclaimer.////
18
////                                                             ////
19
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
20
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
21
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
22
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
23
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
24
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
25
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
26
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
27
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
28
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
29
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
30
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
31
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
32
////                                                             ////
33
/////////////////////////////////////////////////////////////////////
34
 
35
module  key_sel3(K_sub, key1, key2, key3, roundSel, decrypt);
36
output  [1:48]  K_sub;
37
input   [55:0]   key1, key2, key3;
38
input   [5:0]    roundSel;
39
input           decrypt;
40
 
41
wire            decrypt_int;
42
reg     [55:0]   K;
43
reg     [1:48]  K_sub;
44
wire    [1:48]  K1, K2, K3, K4, K5, K6, K7, K8, K9;
45
wire    [1:48]  K10, K11, K12, K13, K14, K15, K16;
46
 
47
always @(roundSel or decrypt or key1 or key2 or key3)
48
        case ({decrypt, roundSel[5:4]})         // synopsys full_case parallel_case
49
           3'b0_00:     K = key1;
50
           3'b0_01:     K = key2;
51
           3'b0_10:     K = key3;
52
           3'b1_00:     K = key3;
53
           3'b1_01:     K = key2;
54
           3'b1_10:     K = key1;
55
        endcase
56
 
57
assign decrypt_int = (roundSel[5:4]==2'h1) ? !decrypt : decrypt;
58
 
59
always @(K1 or K2 or K3 or K4 or K5 or K6 or K7 or K8 or K9 or K10
60
              or K11 or K12 or K13 or K14 or K15 or K16 or roundSel)
61
        case(roundSel[3:0])              // synopsys full_case parallel_case
62
            0:  K_sub = K1;
63
            1:  K_sub = K2;
64
            2:  K_sub = K3;
65
            3:  K_sub = K4;
66
            4:  K_sub = K5;
67
            5:  K_sub = K6;
68
            6:  K_sub = K7;
69
            7:  K_sub = K8;
70
            8:  K_sub = K9;
71
            9:  K_sub = K10;
72
            10: K_sub = K11;
73
            11: K_sub = K12;
74
            12: K_sub = K13;
75
            13: K_sub = K14;
76
            14: K_sub = K15;
77
            15: K_sub = K16;
78
        endcase
79
 
80
 
81
assign K16[1] = decrypt_int ? K[47] : K[40];
82
assign K16[2] = decrypt_int ? K[11] : K[4];
83
assign K16[3] = decrypt_int ? K[26] : K[19];
84
assign K16[4] = decrypt_int ? K[3] : K[53];
85
assign K16[5] = decrypt_int ? K[13] : K[6];
86
assign K16[6] = decrypt_int ? K[41] : K[34];
87
assign K16[7] = decrypt_int ? K[27] : K[20];
88
assign K16[8] = decrypt_int ? K[6] : K[24];
89
assign K16[9] = decrypt_int ? K[54] : K[47];
90
assign K16[10] = decrypt_int ? K[48] : K[41];
91
assign K16[11] = decrypt_int ? K[39] : K[32];
92
assign K16[12] = decrypt_int ? K[19] : K[12];
93
assign K16[13] = decrypt_int ? K[53] : K[46];
94
assign K16[14] = decrypt_int ? K[25] : K[18];
95
assign K16[15] = decrypt_int ? K[33] : K[26];
96
assign K16[16] = decrypt_int ? K[34] : K[27];
97
assign K16[17] = decrypt_int ? K[17] : K[10];
98
assign K16[18] = decrypt_int ? K[5] : K[55];
99
assign K16[19] = decrypt_int ? K[4] : K[54];
100
assign K16[20] = decrypt_int ? K[55] : K[48];
101
assign K16[21] = decrypt_int ? K[24] : K[17];
102
assign K16[22] = decrypt_int ? K[32] : K[25];
103
assign K16[23] = decrypt_int ? K[40] : K[33];
104
assign K16[24] = decrypt_int ? K[20] : K[13];
105
assign K16[25] = decrypt_int ? K[36] : K[29];
106
assign K16[26] = decrypt_int ? K[31] : K[51];
107
assign K16[27] = decrypt_int ? K[21] : K[14];
108
assign K16[28] = decrypt_int ? K[8] : K[1];
109
assign K16[29] = decrypt_int ? K[23] : K[16];
110
assign K16[30] = decrypt_int ? K[52] : K[45];
111
assign K16[31] = decrypt_int ? K[14] : K[7];
112
assign K16[32] = decrypt_int ? K[29] : K[22];
113
assign K16[33] = decrypt_int ? K[51] : K[44];
114
assign K16[34] = decrypt_int ? K[9] : K[2];
115
assign K16[35] = decrypt_int ? K[35] : K[28];
116
assign K16[36] = decrypt_int ? K[30] : K[23];
117
assign K16[37] = decrypt_int ? K[2] : K[50];
118
assign K16[38] = decrypt_int ? K[37] : K[30];
119
assign K16[39] = decrypt_int ? K[22] : K[15];
120
assign K16[40] = decrypt_int ? K[0] : K[52];
121
assign K16[41] = decrypt_int ? K[42] : K[35];
122
assign K16[42] = decrypt_int ? K[38] : K[31];
123
assign K16[43] = decrypt_int ? K[16] : K[9];
124
assign K16[44] = decrypt_int ? K[43] : K[36];
125
assign K16[45] = decrypt_int ? K[44] : K[37];
126
assign K16[46] = decrypt_int ? K[1] : K[49];
127
assign K16[47] = decrypt_int ? K[7] : K[0];
128
assign K16[48] = decrypt_int ? K[28] : K[21];
129
 
130
assign K15[1] = decrypt_int ? K[54] : K[33];
131
assign K15[2] = decrypt_int ? K[18] : K[54];
132
assign K15[3] = decrypt_int ? K[33] : K[12];
133
assign K15[4] = decrypt_int ? K[10] : K[46];
134
assign K15[5] = decrypt_int ? K[20] : K[24];
135
assign K15[6] = decrypt_int ? K[48] : K[27];
136
assign K15[7] = decrypt_int ? K[34] : K[13];
137
assign K15[8] = decrypt_int ? K[13] : K[17];
138
assign K15[9] = decrypt_int ? K[4] : K[40];
139
assign K15[10] = decrypt_int ? K[55] : K[34];
140
assign K15[11] = decrypt_int ? K[46] : K[25];
141
assign K15[12] = decrypt_int ? K[26] : K[5];
142
assign K15[13] = decrypt_int ? K[3] : K[39];
143
assign K15[14] = decrypt_int ? K[32] : K[11];
144
assign K15[15] = decrypt_int ? K[40] : K[19];
145
assign K15[16] = decrypt_int ? K[41] : K[20];
146
assign K15[17] = decrypt_int ? K[24] : K[3];
147
assign K15[18] = decrypt_int ? K[12] : K[48];
148
assign K15[19] = decrypt_int ? K[11] : K[47];
149
assign K15[20] = decrypt_int ? K[5] : K[41];
150
assign K15[21] = decrypt_int ? K[6] : K[10];
151
assign K15[22] = decrypt_int ? K[39] : K[18];
152
assign K15[23] = decrypt_int ? K[47] : K[26];
153
assign K15[24] = decrypt_int ? K[27] : K[6];
154
assign K15[25] = decrypt_int ? K[43] : K[22];
155
assign K15[26] = decrypt_int ? K[38] : K[44];
156
assign K15[27] = decrypt_int ? K[28] : K[7];
157
assign K15[28] = decrypt_int ? K[15] : K[49];
158
assign K15[29] = decrypt_int ? K[30] : K[9];
159
assign K15[30] = decrypt_int ? K[0] : K[38];
160
assign K15[31] = decrypt_int ? K[21] : K[0];
161
assign K15[32] = decrypt_int ? K[36] : K[15];
162
assign K15[33] = decrypt_int ? K[31] : K[37];
163
assign K15[34] = decrypt_int ? K[16] : K[50];
164
assign K15[35] = decrypt_int ? K[42] : K[21];
165
assign K15[36] = decrypt_int ? K[37] : K[16];
166
assign K15[37] = decrypt_int ? K[9] : K[43];
167
assign K15[38] = decrypt_int ? K[44] : K[23];
168
assign K15[39] = decrypt_int ? K[29] : K[8];
169
assign K15[40] = decrypt_int ? K[7] : K[45];
170
assign K15[41] = decrypt_int ? K[49] : K[28];
171
assign K15[42] = decrypt_int ? K[45] : K[51];
172
assign K15[43] = decrypt_int ? K[23] : K[2];
173
assign K15[44] = decrypt_int ? K[50] : K[29];
174
assign K15[45] = decrypt_int ? K[51] : K[30];
175
assign K15[46] = decrypt_int ? K[8] : K[42];
176
assign K15[47] = decrypt_int ? K[14] : K[52];
177
assign K15[48] = decrypt_int ? K[35] : K[14];
178
 
179
assign K14[1] = decrypt_int ? K[11] : K[19];
180
assign K14[2] = decrypt_int ? K[32] : K[40];
181
assign K14[3] = decrypt_int ? K[47] : K[55];
182
assign K14[4] = decrypt_int ? K[24] : K[32];
183
assign K14[5] = decrypt_int ? K[34] : K[10];
184
assign K14[6] = decrypt_int ? K[5] : K[13];
185
assign K14[7] = decrypt_int ? K[48] : K[24];
186
assign K14[8] = decrypt_int ? K[27] : K[3];
187
assign K14[9] = decrypt_int ? K[18] : K[26];
188
assign K14[10] = decrypt_int ? K[12] : K[20];
189
assign K14[11] = decrypt_int ? K[3] : K[11];
190
assign K14[12] = decrypt_int ? K[40] : K[48];
191
assign K14[13] = decrypt_int ? K[17] : K[25];
192
assign K14[14] = decrypt_int ? K[46] : K[54];
193
assign K14[15] = decrypt_int ? K[54] : K[5];
194
assign K14[16] = decrypt_int ? K[55] : K[6];
195
assign K14[17] = decrypt_int ? K[13] : K[46];
196
assign K14[18] = decrypt_int ? K[26] : K[34];
197
assign K14[19] = decrypt_int ? K[25] : K[33];
198
assign K14[20] = decrypt_int ? K[19] : K[27];
199
assign K14[21] = decrypt_int ? K[20] : K[53];
200
assign K14[22] = decrypt_int ? K[53] : K[4];
201
assign K14[23] = decrypt_int ? K[4] : K[12];
202
assign K14[24] = decrypt_int ? K[41] : K[17];
203
assign K14[25] = decrypt_int ? K[2] : K[8];
204
assign K14[26] = decrypt_int ? K[52] : K[30];
205
assign K14[27] = decrypt_int ? K[42] : K[52];
206
assign K14[28] = decrypt_int ? K[29] : K[35];
207
assign K14[29] = decrypt_int ? K[44] : K[50];
208
assign K14[30] = decrypt_int ? K[14] : K[51];
209
assign K14[31] = decrypt_int ? K[35] : K[45];
210
assign K14[32] = decrypt_int ? K[50] : K[1];
211
assign K14[33] = decrypt_int ? K[45] : K[23];
212
assign K14[34] = decrypt_int ? K[30] : K[36];
213
assign K14[35] = decrypt_int ? K[1] : K[7];
214
assign K14[36] = decrypt_int ? K[51] : K[2];
215
assign K14[37] = decrypt_int ? K[23] : K[29];
216
assign K14[38] = decrypt_int ? K[31] : K[9];
217
assign K14[39] = decrypt_int ? K[43] : K[49];
218
assign K14[40] = decrypt_int ? K[21] : K[31];
219
assign K14[41] = decrypt_int ? K[8] : K[14];
220
assign K14[42] = decrypt_int ? K[0] : K[37];
221
assign K14[43] = decrypt_int ? K[37] : K[43];
222
assign K14[44] = decrypt_int ? K[9] : K[15];
223
assign K14[45] = decrypt_int ? K[38] : K[16];
224
assign K14[46] = decrypt_int ? K[22] : K[28];
225
assign K14[47] = decrypt_int ? K[28] : K[38];
226
assign K14[48] = decrypt_int ? K[49] : K[0];
227
 
228
assign K13[1] = decrypt_int ? K[25] : K[5];
229
assign K13[2] = decrypt_int ? K[46] : K[26];
230
assign K13[3] = decrypt_int ? K[4] : K[41];
231
assign K13[4] = decrypt_int ? K[13] : K[18];
232
assign K13[5] = decrypt_int ? K[48] : K[53];
233
assign K13[6] = decrypt_int ? K[19] : K[24];
234
assign K13[7] = decrypt_int ? K[5] : K[10];
235
assign K13[8] = decrypt_int ? K[41] : K[46];
236
assign K13[9] = decrypt_int ? K[32] : K[12];
237
assign K13[10] = decrypt_int ? K[26] : K[6];
238
assign K13[11] = decrypt_int ? K[17] : K[54];
239
assign K13[12] = decrypt_int ? K[54] : K[34];
240
assign K13[13] = decrypt_int ? K[6] : K[11];
241
assign K13[14] = decrypt_int ? K[3] : K[40];
242
assign K13[15] = decrypt_int ? K[11] : K[48];
243
assign K13[16] = decrypt_int ? K[12] : K[17];
244
assign K13[17] = decrypt_int ? K[27] : K[32];
245
assign K13[18] = decrypt_int ? K[40] : K[20];
246
assign K13[19] = decrypt_int ? K[39] : K[19];
247
assign K13[20] = decrypt_int ? K[33] : K[13];
248
assign K13[21] = decrypt_int ? K[34] : K[39];
249
assign K13[22] = decrypt_int ? K[10] : K[47];
250
assign K13[23] = decrypt_int ? K[18] : K[55];
251
assign K13[24] = decrypt_int ? K[55] : K[3];
252
assign K13[25] = decrypt_int ? K[16] : K[49];
253
assign K13[26] = decrypt_int ? K[7] : K[16];
254
assign K13[27] = decrypt_int ? K[1] : K[38];
255
assign K13[28] = decrypt_int ? K[43] : K[21];
256
assign K13[29] = decrypt_int ? K[31] : K[36];
257
assign K13[30] = decrypt_int ? K[28] : K[37];
258
assign K13[31] = decrypt_int ? K[49] : K[31];
259
assign K13[32] = decrypt_int ? K[9] : K[42];
260
assign K13[33] = decrypt_int ? K[0] : K[9];
261
assign K13[34] = decrypt_int ? K[44] : K[22];
262
assign K13[35] = decrypt_int ? K[15] : K[52];
263
assign K13[36] = decrypt_int ? K[38] : K[43];
264
assign K13[37] = decrypt_int ? K[37] : K[15];
265
assign K13[38] = decrypt_int ? K[45] : K[50];
266
assign K13[39] = decrypt_int ? K[2] : K[35];
267
assign K13[40] = decrypt_int ? K[35] : K[44];
268
assign K13[41] = decrypt_int ? K[22] : K[0];
269
assign K13[42] = decrypt_int ? K[14] : K[23];
270
assign K13[43] = decrypt_int ? K[51] : K[29];
271
assign K13[44] = decrypt_int ? K[23] : K[1];
272
assign K13[45] = decrypt_int ? K[52] : K[2];
273
assign K13[46] = decrypt_int ? K[36] : K[14];
274
assign K13[47] = decrypt_int ? K[42] : K[51];
275
assign K13[48] = decrypt_int ? K[8] : K[45];
276
 
277
assign K12[1] = decrypt_int ? K[39] : K[48];
278
assign K12[2] = decrypt_int ? K[3] : K[12];
279
assign K12[3] = decrypt_int ? K[18] : K[27];
280
assign K12[4] = decrypt_int ? K[27] : K[4];
281
assign K12[5] = decrypt_int ? K[5] : K[39];
282
assign K12[6] = decrypt_int ? K[33] : K[10];
283
assign K12[7] = decrypt_int ? K[19] : K[53];
284
assign K12[8] = decrypt_int ? K[55] : K[32];
285
assign K12[9] = decrypt_int ? K[46] : K[55];
286
assign K12[10] = decrypt_int ? K[40] : K[17];
287
assign K12[11] = decrypt_int ? K[6] : K[40];
288
assign K12[12] = decrypt_int ? K[11] : K[20];
289
assign K12[13] = decrypt_int ? K[20] : K[54];
290
assign K12[14] = decrypt_int ? K[17] : K[26];
291
assign K12[15] = decrypt_int ? K[25] : K[34];
292
assign K12[16] = decrypt_int ? K[26] : K[3];
293
assign K12[17] = decrypt_int ? K[41] : K[18];
294
assign K12[18] = decrypt_int ? K[54] : K[6];
295
assign K12[19] = decrypt_int ? K[53] : K[5];
296
assign K12[20] = decrypt_int ? K[47] : K[24];
297
assign K12[21] = decrypt_int ? K[48] : K[25];
298
assign K12[22] = decrypt_int ? K[24] : K[33];
299
assign K12[23] = decrypt_int ? K[32] : K[41];
300
assign K12[24] = decrypt_int ? K[12] : K[46];
301
assign K12[25] = decrypt_int ? K[30] : K[35];
302
assign K12[26] = decrypt_int ? K[21] : K[2];
303
assign K12[27] = decrypt_int ? K[15] : K[51];
304
assign K12[28] = decrypt_int ? K[2] : K[7];
305
assign K12[29] = decrypt_int ? K[45] : K[22];
306
assign K12[30] = decrypt_int ? K[42] : K[23];
307
assign K12[31] = decrypt_int ? K[8] : K[44];
308
assign K12[32] = decrypt_int ? K[23] : K[28];
309
assign K12[33] = decrypt_int ? K[14] : K[50];
310
assign K12[34] = decrypt_int ? K[31] : K[8];
311
assign K12[35] = decrypt_int ? K[29] : K[38];
312
assign K12[36] = decrypt_int ? K[52] : K[29];
313
assign K12[37] = decrypt_int ? K[51] : K[1];
314
assign K12[38] = decrypt_int ? K[0] : K[36];
315
assign K12[39] = decrypt_int ? K[16] : K[21];
316
assign K12[40] = decrypt_int ? K[49] : K[30];
317
assign K12[41] = decrypt_int ? K[36] : K[45];
318
assign K12[42] = decrypt_int ? K[28] : K[9];
319
assign K12[43] = decrypt_int ? K[38] : K[15];
320
assign K12[44] = decrypt_int ? K[37] : K[42];
321
assign K12[45] = decrypt_int ? K[7] : K[43];
322
assign K12[46] = decrypt_int ? K[50] : K[0];
323
assign K12[47] = decrypt_int ? K[1] : K[37];
324
assign K12[48] = decrypt_int ? K[22] : K[31];
325
 
326
assign K11[1] = decrypt_int ? K[53] : K[34];
327
assign K11[2] = decrypt_int ? K[17] : K[55];
328
assign K11[3] = decrypt_int ? K[32] : K[13];
329
assign K11[4] = decrypt_int ? K[41] : K[47];
330
assign K11[5] = decrypt_int ? K[19] : K[25];
331
assign K11[6] = decrypt_int ? K[47] : K[53];
332
assign K11[7] = decrypt_int ? K[33] : K[39];
333
assign K11[8] = decrypt_int ? K[12] : K[18];
334
assign K11[9] = decrypt_int ? K[3] : K[41];
335
assign K11[10] = decrypt_int ? K[54] : K[3];
336
assign K11[11] = decrypt_int ? K[20] : K[26];
337
assign K11[12] = decrypt_int ? K[25] : K[6];
338
assign K11[13] = decrypt_int ? K[34] : K[40];
339
assign K11[14] = decrypt_int ? K[6] : K[12];
340
assign K11[15] = decrypt_int ? K[39] : K[20];
341
assign K11[16] = decrypt_int ? K[40] : K[46];
342
assign K11[17] = decrypt_int ? K[55] : K[4];
343
assign K11[18] = decrypt_int ? K[11] : K[17];
344
assign K11[19] = decrypt_int ? K[10] : K[48];
345
assign K11[20] = decrypt_int ? K[4] : K[10];
346
assign K11[21] = decrypt_int ? K[5] : K[11];
347
assign K11[22] = decrypt_int ? K[13] : K[19];
348
assign K11[23] = decrypt_int ? K[46] : K[27];
349
assign K11[24] = decrypt_int ? K[26] : K[32];
350
assign K11[25] = decrypt_int ? K[44] : K[21];
351
assign K11[26] = decrypt_int ? K[35] : K[43];
352
assign K11[27] = decrypt_int ? K[29] : K[37];
353
assign K11[28] = decrypt_int ? K[16] : K[52];
354
assign K11[29] = decrypt_int ? K[0] : K[8];
355
assign K11[30] = decrypt_int ? K[1] : K[9];
356
assign K11[31] = decrypt_int ? K[22] : K[30];
357
assign K11[32] = decrypt_int ? K[37] : K[14];
358
assign K11[33] = decrypt_int ? K[28] : K[36];
359
assign K11[34] = decrypt_int ? K[45] : K[49];
360
assign K11[35] = decrypt_int ? K[43] : K[51];
361
assign K11[36] = decrypt_int ? K[7] : K[15];
362
assign K11[37] = decrypt_int ? K[38] : K[42];
363
assign K11[38] = decrypt_int ? K[14] : K[22];
364
assign K11[39] = decrypt_int ? K[30] : K[7];
365
assign K11[40] = decrypt_int ? K[8] : K[16];
366
assign K11[41] = decrypt_int ? K[50] : K[31];
367
assign K11[42] = decrypt_int ? K[42] : K[50];
368
assign K11[43] = decrypt_int ? K[52] : K[1];
369
assign K11[44] = decrypt_int ? K[51] : K[28];
370
assign K11[45] = decrypt_int ? K[21] : K[29];
371
assign K11[46] = decrypt_int ? K[9] : K[45];
372
assign K11[47] = decrypt_int ? K[15] : K[23];
373
assign K11[48] = decrypt_int ? K[36] : K[44];
374
 
375
assign K10[1] = decrypt_int ? K[10] : K[20];
376
assign K10[2] = decrypt_int ? K[6] : K[41];
377
assign K10[3] = decrypt_int ? K[46] : K[24];
378
assign K10[4] = decrypt_int ? K[55] : K[33];
379
assign K10[5] = decrypt_int ? K[33] : K[11];
380
assign K10[6] = decrypt_int ? K[4] : K[39];
381
assign K10[7] = decrypt_int ? K[47] : K[25];
382
assign K10[8] = decrypt_int ? K[26] : K[4];
383
assign K10[9] = decrypt_int ? K[17] : K[27];
384
assign K10[10] = decrypt_int ? K[11] : K[46];
385
assign K10[11] = decrypt_int ? K[34] : K[12];
386
assign K10[12] = decrypt_int ? K[39] : K[17];
387
assign K10[13] = decrypt_int ? K[48] : K[26];
388
assign K10[14] = decrypt_int ? K[20] : K[55];
389
assign K10[15] = decrypt_int ? K[53] : K[6];
390
assign K10[16] = decrypt_int ? K[54] : K[32];
391
assign K10[17] = decrypt_int ? K[12] : K[47];
392
assign K10[18] = decrypt_int ? K[25] : K[3];
393
assign K10[19] = decrypt_int ? K[24] : K[34];
394
assign K10[20] = decrypt_int ? K[18] : K[53];
395
assign K10[21] = decrypt_int ? K[19] : K[54];
396
assign K10[22] = decrypt_int ? K[27] : K[5];
397
assign K10[23] = decrypt_int ? K[3] : K[13];
398
assign K10[24] = decrypt_int ? K[40] : K[18];
399
assign K10[25] = decrypt_int ? K[31] : K[7];
400
assign K10[26] = decrypt_int ? K[49] : K[29];
401
assign K10[27] = decrypt_int ? K[43] : K[23];
402
assign K10[28] = decrypt_int ? K[30] : K[38];
403
assign K10[29] = decrypt_int ? K[14] : K[49];
404
assign K10[30] = decrypt_int ? K[15] : K[50];
405
assign K10[31] = decrypt_int ? K[36] : K[16];
406
assign K10[32] = decrypt_int ? K[51] : K[0];
407
assign K10[33] = decrypt_int ? K[42] : K[22];
408
assign K10[34] = decrypt_int ? K[0] : K[35];
409
assign K10[35] = decrypt_int ? K[2] : K[37];
410
assign K10[36] = decrypt_int ? K[21] : K[1];
411
assign K10[37] = decrypt_int ? K[52] : K[28];
412
assign K10[38] = decrypt_int ? K[28] : K[8];
413
assign K10[39] = decrypt_int ? K[44] : K[52];
414
assign K10[40] = decrypt_int ? K[22] : K[2];
415
assign K10[41] = decrypt_int ? K[9] : K[44];
416
assign K10[42] = decrypt_int ? K[1] : K[36];
417
assign K10[43] = decrypt_int ? K[7] : K[42];
418
assign K10[44] = decrypt_int ? K[38] : K[14];
419
assign K10[45] = decrypt_int ? K[35] : K[15];
420
assign K10[46] = decrypt_int ? K[23] : K[31];
421
assign K10[47] = decrypt_int ? K[29] : K[9];
422
assign K10[48] = decrypt_int ? K[50] : K[30];
423
 
424
assign K9[1] = decrypt_int ? K[24] : K[6];
425
assign K9[2] = decrypt_int ? K[20] : K[27];
426
assign K9[3] = decrypt_int ? K[3] : K[10];
427
assign K9[4] = decrypt_int ? K[12] : K[19];
428
assign K9[5] = decrypt_int ? K[47] : K[54];
429
assign K9[6] = decrypt_int ? K[18] : K[25];
430
assign K9[7] = decrypt_int ? K[4] : K[11];
431
assign K9[8] = decrypt_int ? K[40] : K[47];
432
assign K9[9] = decrypt_int ? K[6] : K[13];
433
assign K9[10] = decrypt_int ? K[25] : K[32];
434
assign K9[11] = decrypt_int ? K[48] : K[55];
435
assign K9[12] = decrypt_int ? K[53] : K[3];
436
assign K9[13] = decrypt_int ? K[5] : K[12];
437
assign K9[14] = decrypt_int ? K[34] : K[41];
438
assign K9[15] = decrypt_int ? K[10] : K[17];
439
assign K9[16] = decrypt_int ? K[11] : K[18];
440
assign K9[17] = decrypt_int ? K[26] : K[33];
441
assign K9[18] = decrypt_int ? K[39] : K[46];
442
assign K9[19] = decrypt_int ? K[13] : K[20];
443
assign K9[20] = decrypt_int ? K[32] : K[39];
444
assign K9[21] = decrypt_int ? K[33] : K[40];
445
assign K9[22] = decrypt_int ? K[41] : K[48];
446
assign K9[23] = decrypt_int ? K[17] : K[24];
447
assign K9[24] = decrypt_int ? K[54] : K[4];
448
assign K9[25] = decrypt_int ? K[45] : K[52];
449
assign K9[26] = decrypt_int ? K[8] : K[15];
450
assign K9[27] = decrypt_int ? K[2] : K[9];
451
assign K9[28] = decrypt_int ? K[44] : K[51];
452
assign K9[29] = decrypt_int ? K[28] : K[35];
453
assign K9[30] = decrypt_int ? K[29] : K[36];
454
assign K9[31] = decrypt_int ? K[50] : K[2];
455
assign K9[32] = decrypt_int ? K[38] : K[45];
456
assign K9[33] = decrypt_int ? K[1] : K[8];
457
assign K9[34] = decrypt_int ? K[14] : K[21];
458
assign K9[35] = decrypt_int ? K[16] : K[23];
459
assign K9[36] = decrypt_int ? K[35] : K[42];
460
assign K9[37] = decrypt_int ? K[7] : K[14];
461
assign K9[38] = decrypt_int ? K[42] : K[49];
462
assign K9[39] = decrypt_int ? K[31] : K[38];
463
assign K9[40] = decrypt_int ? K[36] : K[43];
464
assign K9[41] = decrypt_int ? K[23] : K[30];
465
assign K9[42] = decrypt_int ? K[15] : K[22];
466
assign K9[43] = decrypt_int ? K[21] : K[28];
467
assign K9[44] = decrypt_int ? K[52] : K[0];
468
assign K9[45] = decrypt_int ? K[49] : K[1];
469
assign K9[46] = decrypt_int ? K[37] : K[44];
470
assign K9[47] = decrypt_int ? K[43] : K[50];
471
assign K9[48] = decrypt_int ? K[9] : K[16];
472
 
473
assign K8[1] = decrypt_int ? K[6] : K[24];
474
assign K8[2] = decrypt_int ? K[27] : K[20];
475
assign K8[3] = decrypt_int ? K[10] : K[3];
476
assign K8[4] = decrypt_int ? K[19] : K[12];
477
assign K8[5] = decrypt_int ? K[54] : K[47];
478
assign K8[6] = decrypt_int ? K[25] : K[18];
479
assign K8[7] = decrypt_int ? K[11] : K[4];
480
assign K8[8] = decrypt_int ? K[47] : K[40];
481
assign K8[9] = decrypt_int ? K[13] : K[6];
482
assign K8[10] = decrypt_int ? K[32] : K[25];
483
assign K8[11] = decrypt_int ? K[55] : K[48];
484
assign K8[12] = decrypt_int ? K[3] : K[53];
485
assign K8[13] = decrypt_int ? K[12] : K[5];
486
assign K8[14] = decrypt_int ? K[41] : K[34];
487
assign K8[15] = decrypt_int ? K[17] : K[10];
488
assign K8[16] = decrypt_int ? K[18] : K[11];
489
assign K8[17] = decrypt_int ? K[33] : K[26];
490
assign K8[18] = decrypt_int ? K[46] : K[39];
491
assign K8[19] = decrypt_int ? K[20] : K[13];
492
assign K8[20] = decrypt_int ? K[39] : K[32];
493
assign K8[21] = decrypt_int ? K[40] : K[33];
494
assign K8[22] = decrypt_int ? K[48] : K[41];
495
assign K8[23] = decrypt_int ? K[24] : K[17];
496
assign K8[24] = decrypt_int ? K[4] : K[54];
497
assign K8[25] = decrypt_int ? K[52] : K[45];
498
assign K8[26] = decrypt_int ? K[15] : K[8];
499
assign K8[27] = decrypt_int ? K[9] : K[2];
500
assign K8[28] = decrypt_int ? K[51] : K[44];
501
assign K8[29] = decrypt_int ? K[35] : K[28];
502
assign K8[30] = decrypt_int ? K[36] : K[29];
503
assign K8[31] = decrypt_int ? K[2] : K[50];
504
assign K8[32] = decrypt_int ? K[45] : K[38];
505
assign K8[33] = decrypt_int ? K[8] : K[1];
506
assign K8[34] = decrypt_int ? K[21] : K[14];
507
assign K8[35] = decrypt_int ? K[23] : K[16];
508
assign K8[36] = decrypt_int ? K[42] : K[35];
509
assign K8[37] = decrypt_int ? K[14] : K[7];
510
assign K8[38] = decrypt_int ? K[49] : K[42];
511
assign K8[39] = decrypt_int ? K[38] : K[31];
512
assign K8[40] = decrypt_int ? K[43] : K[36];
513
assign K8[41] = decrypt_int ? K[30] : K[23];
514
assign K8[42] = decrypt_int ? K[22] : K[15];
515
assign K8[43] = decrypt_int ? K[28] : K[21];
516
assign K8[44] = decrypt_int ? K[0] : K[52];
517
assign K8[45] = decrypt_int ? K[1] : K[49];
518
assign K8[46] = decrypt_int ? K[44] : K[37];
519
assign K8[47] = decrypt_int ? K[50] : K[43];
520
assign K8[48] = decrypt_int ? K[16] : K[9];
521
 
522
assign K7[1] = decrypt_int ? K[20] : K[10];
523
assign K7[2] = decrypt_int ? K[41] : K[6];
524
assign K7[3] = decrypt_int ? K[24] : K[46];
525
assign K7[4] = decrypt_int ? K[33] : K[55];
526
assign K7[5] = decrypt_int ? K[11] : K[33];
527
assign K7[6] = decrypt_int ? K[39] : K[4];
528
assign K7[7] = decrypt_int ? K[25] : K[47];
529
assign K7[8] = decrypt_int ? K[4] : K[26];
530
assign K7[9] = decrypt_int ? K[27] : K[17];
531
assign K7[10] = decrypt_int ? K[46] : K[11];
532
assign K7[11] = decrypt_int ? K[12] : K[34];
533
assign K7[12] = decrypt_int ? K[17] : K[39];
534
assign K7[13] = decrypt_int ? K[26] : K[48];
535
assign K7[14] = decrypt_int ? K[55] : K[20];
536
assign K7[15] = decrypt_int ? K[6] : K[53];
537
assign K7[16] = decrypt_int ? K[32] : K[54];
538
assign K7[17] = decrypt_int ? K[47] : K[12];
539
assign K7[18] = decrypt_int ? K[3] : K[25];
540
assign K7[19] = decrypt_int ? K[34] : K[24];
541
assign K7[20] = decrypt_int ? K[53] : K[18];
542
assign K7[21] = decrypt_int ? K[54] : K[19];
543
assign K7[22] = decrypt_int ? K[5] : K[27];
544
assign K7[23] = decrypt_int ? K[13] : K[3];
545
assign K7[24] = decrypt_int ? K[18] : K[40];
546
assign K7[25] = decrypt_int ? K[7] : K[31];
547
assign K7[26] = decrypt_int ? K[29] : K[49];
548
assign K7[27] = decrypt_int ? K[23] : K[43];
549
assign K7[28] = decrypt_int ? K[38] : K[30];
550
assign K7[29] = decrypt_int ? K[49] : K[14];
551
assign K7[30] = decrypt_int ? K[50] : K[15];
552
assign K7[31] = decrypt_int ? K[16] : K[36];
553
assign K7[32] = decrypt_int ? K[0] : K[51];
554
assign K7[33] = decrypt_int ? K[22] : K[42];
555
assign K7[34] = decrypt_int ? K[35] : K[0];
556
assign K7[35] = decrypt_int ? K[37] : K[2];
557
assign K7[36] = decrypt_int ? K[1] : K[21];
558
assign K7[37] = decrypt_int ? K[28] : K[52];
559
assign K7[38] = decrypt_int ? K[8] : K[28];
560
assign K7[39] = decrypt_int ? K[52] : K[44];
561
assign K7[40] = decrypt_int ? K[2] : K[22];
562
assign K7[41] = decrypt_int ? K[44] : K[9];
563
assign K7[42] = decrypt_int ? K[36] : K[1];
564
assign K7[43] = decrypt_int ? K[42] : K[7];
565
assign K7[44] = decrypt_int ? K[14] : K[38];
566
assign K7[45] = decrypt_int ? K[15] : K[35];
567
assign K7[46] = decrypt_int ? K[31] : K[23];
568
assign K7[47] = decrypt_int ? K[9] : K[29];
569
assign K7[48] = decrypt_int ? K[30] : K[50];
570
 
571
assign K6[1] = decrypt_int ? K[34] : K[53];
572
assign K6[2] = decrypt_int ? K[55] : K[17];
573
assign K6[3] = decrypt_int ? K[13] : K[32];
574
assign K6[4] = decrypt_int ? K[47] : K[41];
575
assign K6[5] = decrypt_int ? K[25] : K[19];
576
assign K6[6] = decrypt_int ? K[53] : K[47];
577
assign K6[7] = decrypt_int ? K[39] : K[33];
578
assign K6[8] = decrypt_int ? K[18] : K[12];
579
assign K6[9] = decrypt_int ? K[41] : K[3];
580
assign K6[10] = decrypt_int ? K[3] : K[54];
581
assign K6[11] = decrypt_int ? K[26] : K[20];
582
assign K6[12] = decrypt_int ? K[6] : K[25];
583
assign K6[13] = decrypt_int ? K[40] : K[34];
584
assign K6[14] = decrypt_int ? K[12] : K[6];
585
assign K6[15] = decrypt_int ? K[20] : K[39];
586
assign K6[16] = decrypt_int ? K[46] : K[40];
587
assign K6[17] = decrypt_int ? K[4] : K[55];
588
assign K6[18] = decrypt_int ? K[17] : K[11];
589
assign K6[19] = decrypt_int ? K[48] : K[10];
590
assign K6[20] = decrypt_int ? K[10] : K[4];
591
assign K6[21] = decrypt_int ? K[11] : K[5];
592
assign K6[22] = decrypt_int ? K[19] : K[13];
593
assign K6[23] = decrypt_int ? K[27] : K[46];
594
assign K6[24] = decrypt_int ? K[32] : K[26];
595
assign K6[25] = decrypt_int ? K[21] : K[44];
596
assign K6[26] = decrypt_int ? K[43] : K[35];
597
assign K6[27] = decrypt_int ? K[37] : K[29];
598
assign K6[28] = decrypt_int ? K[52] : K[16];
599
assign K6[29] = decrypt_int ? K[8] : K[0];
600
assign K6[30] = decrypt_int ? K[9] : K[1];
601
assign K6[31] = decrypt_int ? K[30] : K[22];
602
assign K6[32] = decrypt_int ? K[14] : K[37];
603
assign K6[33] = decrypt_int ? K[36] : K[28];
604
assign K6[34] = decrypt_int ? K[49] : K[45];
605
assign K6[35] = decrypt_int ? K[51] : K[43];
606
assign K6[36] = decrypt_int ? K[15] : K[7];
607
assign K6[37] = decrypt_int ? K[42] : K[38];
608
assign K6[38] = decrypt_int ? K[22] : K[14];
609
assign K6[39] = decrypt_int ? K[7] : K[30];
610
assign K6[40] = decrypt_int ? K[16] : K[8];
611
assign K6[41] = decrypt_int ? K[31] : K[50];
612
assign K6[42] = decrypt_int ? K[50] : K[42];
613
assign K6[43] = decrypt_int ? K[1] : K[52];
614
assign K6[44] = decrypt_int ? K[28] : K[51];
615
assign K6[45] = decrypt_int ? K[29] : K[21];
616
assign K6[46] = decrypt_int ? K[45] : K[9];
617
assign K6[47] = decrypt_int ? K[23] : K[15];
618
assign K6[48] = decrypt_int ? K[44] : K[36];
619
 
620
assign K5[1] = decrypt_int ? K[48] : K[39];
621
assign K5[2] = decrypt_int ? K[12] : K[3];
622
assign K5[3] = decrypt_int ? K[27] : K[18];
623
assign K5[4] = decrypt_int ? K[4] : K[27];
624
assign K5[5] = decrypt_int ? K[39] : K[5];
625
assign K5[6] = decrypt_int ? K[10] : K[33];
626
assign K5[7] = decrypt_int ? K[53] : K[19];
627
assign K5[8] = decrypt_int ? K[32] : K[55];
628
assign K5[9] = decrypt_int ? K[55] : K[46];
629
assign K5[10] = decrypt_int ? K[17] : K[40];
630
assign K5[11] = decrypt_int ? K[40] : K[6];
631
assign K5[12] = decrypt_int ? K[20] : K[11];
632
assign K5[13] = decrypt_int ? K[54] : K[20];
633
assign K5[14] = decrypt_int ? K[26] : K[17];
634
assign K5[15] = decrypt_int ? K[34] : K[25];
635
assign K5[16] = decrypt_int ? K[3] : K[26];
636
assign K5[17] = decrypt_int ? K[18] : K[41];
637
assign K5[18] = decrypt_int ? K[6] : K[54];
638
assign K5[19] = decrypt_int ? K[5] : K[53];
639
assign K5[20] = decrypt_int ? K[24] : K[47];
640
assign K5[21] = decrypt_int ? K[25] : K[48];
641
assign K5[22] = decrypt_int ? K[33] : K[24];
642
assign K5[23] = decrypt_int ? K[41] : K[32];
643
assign K5[24] = decrypt_int ? K[46] : K[12];
644
assign K5[25] = decrypt_int ? K[35] : K[30];
645
assign K5[26] = decrypt_int ? K[2] : K[21];
646
assign K5[27] = decrypt_int ? K[51] : K[15];
647
assign K5[28] = decrypt_int ? K[7] : K[2];
648
assign K5[29] = decrypt_int ? K[22] : K[45];
649
assign K5[30] = decrypt_int ? K[23] : K[42];
650
assign K5[31] = decrypt_int ? K[44] : K[8];
651
assign K5[32] = decrypt_int ? K[28] : K[23];
652
assign K5[33] = decrypt_int ? K[50] : K[14];
653
assign K5[34] = decrypt_int ? K[8] : K[31];
654
assign K5[35] = decrypt_int ? K[38] : K[29];
655
assign K5[36] = decrypt_int ? K[29] : K[52];
656
assign K5[37] = decrypt_int ? K[1] : K[51];
657
assign K5[38] = decrypt_int ? K[36] : K[0];
658
assign K5[39] = decrypt_int ? K[21] : K[16];
659
assign K5[40] = decrypt_int ? K[30] : K[49];
660
assign K5[41] = decrypt_int ? K[45] : K[36];
661
assign K5[42] = decrypt_int ? K[9] : K[28];
662
assign K5[43] = decrypt_int ? K[15] : K[38];
663
assign K5[44] = decrypt_int ? K[42] : K[37];
664
assign K5[45] = decrypt_int ? K[43] : K[7];
665
assign K5[46] = decrypt_int ? K[0] : K[50];
666
assign K5[47] = decrypt_int ? K[37] : K[1];
667
assign K5[48] = decrypt_int ? K[31] : K[22];
668
 
669
assign K4[1] = decrypt_int ? K[5] : K[25];
670
assign K4[2] = decrypt_int ? K[26] : K[46];
671
assign K4[3] = decrypt_int ? K[41] : K[4];
672
assign K4[4] = decrypt_int ? K[18] : K[13];
673
assign K4[5] = decrypt_int ? K[53] : K[48];
674
assign K4[6] = decrypt_int ? K[24] : K[19];
675
assign K4[7] = decrypt_int ? K[10] : K[5];
676
assign K4[8] = decrypt_int ? K[46] : K[41];
677
assign K4[9] = decrypt_int ? K[12] : K[32];
678
assign K4[10] = decrypt_int ? K[6] : K[26];
679
assign K4[11] = decrypt_int ? K[54] : K[17];
680
assign K4[12] = decrypt_int ? K[34] : K[54];
681
assign K4[13] = decrypt_int ? K[11] : K[6];
682
assign K4[14] = decrypt_int ? K[40] : K[3];
683
assign K4[15] = decrypt_int ? K[48] : K[11];
684
assign K4[16] = decrypt_int ? K[17] : K[12];
685
assign K4[17] = decrypt_int ? K[32] : K[27];
686
assign K4[18] = decrypt_int ? K[20] : K[40];
687
assign K4[19] = decrypt_int ? K[19] : K[39];
688
assign K4[20] = decrypt_int ? K[13] : K[33];
689
assign K4[21] = decrypt_int ? K[39] : K[34];
690
assign K4[22] = decrypt_int ? K[47] : K[10];
691
assign K4[23] = decrypt_int ? K[55] : K[18];
692
assign K4[24] = decrypt_int ? K[3] : K[55];
693
assign K4[25] = decrypt_int ? K[49] : K[16];
694
assign K4[26] = decrypt_int ? K[16] : K[7];
695
assign K4[27] = decrypt_int ? K[38] : K[1];
696
assign K4[28] = decrypt_int ? K[21] : K[43];
697
assign K4[29] = decrypt_int ? K[36] : K[31];
698
assign K4[30] = decrypt_int ? K[37] : K[28];
699
assign K4[31] = decrypt_int ? K[31] : K[49];
700
assign K4[32] = decrypt_int ? K[42] : K[9];
701
assign K4[33] = decrypt_int ? K[9] : K[0];
702
assign K4[34] = decrypt_int ? K[22] : K[44];
703
assign K4[35] = decrypt_int ? K[52] : K[15];
704
assign K4[36] = decrypt_int ? K[43] : K[38];
705
assign K4[37] = decrypt_int ? K[15] : K[37];
706
assign K4[38] = decrypt_int ? K[50] : K[45];
707
assign K4[39] = decrypt_int ? K[35] : K[2];
708
assign K4[40] = decrypt_int ? K[44] : K[35];
709
assign K4[41] = decrypt_int ? K[0] : K[22];
710
assign K4[42] = decrypt_int ? K[23] : K[14];
711
assign K4[43] = decrypt_int ? K[29] : K[51];
712
assign K4[44] = decrypt_int ? K[1] : K[23];
713
assign K4[45] = decrypt_int ? K[2] : K[52];
714
assign K4[46] = decrypt_int ? K[14] : K[36];
715
assign K4[47] = decrypt_int ? K[51] : K[42];
716
assign K4[48] = decrypt_int ? K[45] : K[8];
717
 
718
assign K3[1] = decrypt_int ? K[19] : K[11];
719
assign K3[2] = decrypt_int ? K[40] : K[32];
720
assign K3[3] = decrypt_int ? K[55] : K[47];
721
assign K3[4] = decrypt_int ? K[32] : K[24];
722
assign K3[5] = decrypt_int ? K[10] : K[34];
723
assign K3[6] = decrypt_int ? K[13] : K[5];
724
assign K3[7] = decrypt_int ? K[24] : K[48];
725
assign K3[8] = decrypt_int ? K[3] : K[27];
726
assign K3[9] = decrypt_int ? K[26] : K[18];
727
assign K3[10] = decrypt_int ? K[20] : K[12];
728
assign K3[11] = decrypt_int ? K[11] : K[3];
729
assign K3[12] = decrypt_int ? K[48] : K[40];
730
assign K3[13] = decrypt_int ? K[25] : K[17];
731
assign K3[14] = decrypt_int ? K[54] : K[46];
732
assign K3[15] = decrypt_int ? K[5] : K[54];
733
assign K3[16] = decrypt_int ? K[6] : K[55];
734
assign K3[17] = decrypt_int ? K[46] : K[13];
735
assign K3[18] = decrypt_int ? K[34] : K[26];
736
assign K3[19] = decrypt_int ? K[33] : K[25];
737
assign K3[20] = decrypt_int ? K[27] : K[19];
738
assign K3[21] = decrypt_int ? K[53] : K[20];
739
assign K3[22] = decrypt_int ? K[4] : K[53];
740
assign K3[23] = decrypt_int ? K[12] : K[4];
741
assign K3[24] = decrypt_int ? K[17] : K[41];
742
assign K3[25] = decrypt_int ? K[8] : K[2];
743
assign K3[26] = decrypt_int ? K[30] : K[52];
744
assign K3[27] = decrypt_int ? K[52] : K[42];
745
assign K3[28] = decrypt_int ? K[35] : K[29];
746
assign K3[29] = decrypt_int ? K[50] : K[44];
747
assign K3[30] = decrypt_int ? K[51] : K[14];
748
assign K3[31] = decrypt_int ? K[45] : K[35];
749
assign K3[32] = decrypt_int ? K[1] : K[50];
750
assign K3[33] = decrypt_int ? K[23] : K[45];
751
assign K3[34] = decrypt_int ? K[36] : K[30];
752
assign K3[35] = decrypt_int ? K[7] : K[1];
753
assign K3[36] = decrypt_int ? K[2] : K[51];
754
assign K3[37] = decrypt_int ? K[29] : K[23];
755
assign K3[38] = decrypt_int ? K[9] : K[31];
756
assign K3[39] = decrypt_int ? K[49] : K[43];
757
assign K3[40] = decrypt_int ? K[31] : K[21];
758
assign K3[41] = decrypt_int ? K[14] : K[8];
759
assign K3[42] = decrypt_int ? K[37] : K[0];
760
assign K3[43] = decrypt_int ? K[43] : K[37];
761
assign K3[44] = decrypt_int ? K[15] : K[9];
762
assign K3[45] = decrypt_int ? K[16] : K[38];
763
assign K3[46] = decrypt_int ? K[28] : K[22];
764
assign K3[47] = decrypt_int ? K[38] : K[28];
765
assign K3[48] = decrypt_int ? K[0] : K[49];
766
 
767
assign K2[1] = decrypt_int ? K[33] : K[54];
768
assign K2[2] = decrypt_int ? K[54] : K[18];
769
assign K2[3] = decrypt_int ? K[12] : K[33];
770
assign K2[4] = decrypt_int ? K[46] : K[10];
771
assign K2[5] = decrypt_int ? K[24] : K[20];
772
assign K2[6] = decrypt_int ? K[27] : K[48];
773
assign K2[7] = decrypt_int ? K[13] : K[34];
774
assign K2[8] = decrypt_int ? K[17] : K[13];
775
assign K2[9] = decrypt_int ? K[40] : K[4];
776
assign K2[10] = decrypt_int ? K[34] : K[55];
777
assign K2[11] = decrypt_int ? K[25] : K[46];
778
assign K2[12] = decrypt_int ? K[5] : K[26];
779
assign K2[13] = decrypt_int ? K[39] : K[3];
780
assign K2[14] = decrypt_int ? K[11] : K[32];
781
assign K2[15] = decrypt_int ? K[19] : K[40];
782
assign K2[16] = decrypt_int ? K[20] : K[41];
783
assign K2[17] = decrypt_int ? K[3] : K[24];
784
assign K2[18] = decrypt_int ? K[48] : K[12];
785
assign K2[19] = decrypt_int ? K[47] : K[11];
786
assign K2[20] = decrypt_int ? K[41] : K[5];
787
assign K2[21] = decrypt_int ? K[10] : K[6];
788
assign K2[22] = decrypt_int ? K[18] : K[39];
789
assign K2[23] = decrypt_int ? K[26] : K[47];
790
assign K2[24] = decrypt_int ? K[6] : K[27];
791
assign K2[25] = decrypt_int ? K[22] : K[43];
792
assign K2[26] = decrypt_int ? K[44] : K[38];
793
assign K2[27] = decrypt_int ? K[7] : K[28];
794
assign K2[28] = decrypt_int ? K[49] : K[15];
795
assign K2[29] = decrypt_int ? K[9] : K[30];
796
assign K2[30] = decrypt_int ? K[38] : K[0];
797
assign K2[31] = decrypt_int ? K[0] : K[21];
798
assign K2[32] = decrypt_int ? K[15] : K[36];
799
assign K2[33] = decrypt_int ? K[37] : K[31];
800
assign K2[34] = decrypt_int ? K[50] : K[16];
801
assign K2[35] = decrypt_int ? K[21] : K[42];
802
assign K2[36] = decrypt_int ? K[16] : K[37];
803
assign K2[37] = decrypt_int ? K[43] : K[9];
804
assign K2[38] = decrypt_int ? K[23] : K[44];
805
assign K2[39] = decrypt_int ? K[8] : K[29];
806
assign K2[40] = decrypt_int ? K[45] : K[7];
807
assign K2[41] = decrypt_int ? K[28] : K[49];
808
assign K2[42] = decrypt_int ? K[51] : K[45];
809
assign K2[43] = decrypt_int ? K[2] : K[23];
810
assign K2[44] = decrypt_int ? K[29] : K[50];
811
assign K2[45] = decrypt_int ? K[30] : K[51];
812
assign K2[46] = decrypt_int ? K[42] : K[8];
813
assign K2[47] = decrypt_int ? K[52] : K[14];
814
assign K2[48] = decrypt_int ? K[14] : K[35];
815
 
816
assign K1[1] = decrypt_int ? K[40]  : K[47];
817
assign K1[2] = decrypt_int ? K[4]   : K[11];
818
assign K1[3] = decrypt_int ? K[19]  : K[26];
819
assign K1[4] = decrypt_int ? K[53]  : K[3];
820
assign K1[5] = decrypt_int ? K[6]   : K[13];
821
assign K1[6] = decrypt_int ? K[34]  : K[41];
822
assign K1[7] = decrypt_int ? K[20]  : K[27];
823
assign K1[8] = decrypt_int ? K[24]  : K[6];
824
assign K1[9] = decrypt_int ? K[47]  : K[54];
825
assign K1[10] = decrypt_int ? K[41] : K[48];
826
assign K1[11] = decrypt_int ? K[32] : K[39];
827
assign K1[12] = decrypt_int ? K[12] : K[19];
828
assign K1[13] = decrypt_int ? K[46] : K[53];
829
assign K1[14] = decrypt_int ? K[18] : K[25];
830
assign K1[15] = decrypt_int ? K[26] : K[33];
831
assign K1[16] = decrypt_int ? K[27] : K[34];
832
assign K1[17] = decrypt_int ? K[10] : K[17];
833
assign K1[18] = decrypt_int ? K[55] : K[5];
834
assign K1[19] = decrypt_int ? K[54] : K[4];
835
assign K1[20] = decrypt_int ? K[48] : K[55];
836
assign K1[21] = decrypt_int ? K[17] : K[24];
837
assign K1[22] = decrypt_int ? K[25] : K[32];
838
assign K1[23] = decrypt_int ? K[33] : K[40];
839
assign K1[24] = decrypt_int ? K[13] : K[20];
840
assign K1[25] = decrypt_int ? K[29] : K[36];
841
assign K1[26] = decrypt_int ? K[51] : K[31];
842
assign K1[27] = decrypt_int ? K[14] : K[21];
843
assign K1[28] = decrypt_int ? K[1]  : K[8];
844
assign K1[29] = decrypt_int ? K[16] : K[23];
845
assign K1[30] = decrypt_int ? K[45] : K[52];
846
assign K1[31] = decrypt_int ? K[7]  : K[14];
847
assign K1[32] = decrypt_int ? K[22] : K[29];
848
assign K1[33] = decrypt_int ? K[44] : K[51];
849
assign K1[34] = decrypt_int ? K[2]  : K[9];
850
assign K1[35] = decrypt_int ? K[28] : K[35];
851
assign K1[36] = decrypt_int ? K[23] : K[30];
852
assign K1[37] = decrypt_int ? K[50] : K[2];
853
assign K1[38] = decrypt_int ? K[30] : K[37];
854
assign K1[39] = decrypt_int ? K[15] : K[22];
855
assign K1[40] = decrypt_int ? K[52] : K[0];
856
assign K1[41] = decrypt_int ? K[35] : K[42];
857
assign K1[42] = decrypt_int ? K[31] : K[38];
858
assign K1[43] = decrypt_int ? K[9]  : K[16];
859
assign K1[44] = decrypt_int ? K[36] : K[43];
860
assign K1[45] = decrypt_int ? K[37] : K[44];
861
assign K1[46] = decrypt_int ? K[49] : K[1];
862
assign K1[47] = decrypt_int ? K[0]  : K[7];
863
assign K1[48] = decrypt_int ? K[21] : K[28];
864
 
865
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.