OpenCores
URL https://opencores.org/ocsvn/des/des/trunk

Subversion Repositories des

[/] [des/] [trunk/] [rtl/] [verilog/] [perf_opt/] [key_sel.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 rudi
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  KEY_SEL                                                    ////
4
////  Generate 16 pipelined sub-keys                             ////
5
////                                                             ////
6
////  Author: Rudolf Usselmann                                   ////
7
////          rudi@asics.ws                                      ////
8
////                                                             ////
9
/////////////////////////////////////////////////////////////////////
10
////                                                             ////
11
//// Copyright (C) 2001 Rudolf Usselmann                         ////
12
////                    rudi@asics.ws                            ////
13
////                                                             ////
14
//// This source file may be used and distributed without        ////
15
//// restriction provided that this copyright statement is not   ////
16
//// removed from the file and that any derivative work contains ////
17
//// the original copyright notice and the associated disclaimer.////
18
////                                                             ////
19
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
20
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
21
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
22
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
23
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
24
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
25
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
26
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
27
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
28
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
29
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
30
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
31
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
32
////                                                             ////
33
/////////////////////////////////////////////////////////////////////
34
 
35
 
36
module  key_sel(clk, K, decrypt, K1, K2, K3, K4, K5, K6, K7, K8, K9,
37
                K10, K11, K12, K13, K14, K15, K16);
38
input           clk;
39
input   [55:0]   K;
40
input decrypt;
41
output  [1:48]  K1, K2, K3, K4, K5, K6, K7, K8, K9;
42
output  [1:48]  K10, K11, K12, K13, K14, K15, K16;
43
 
44
wire    [1:48]  K1, K2, K3, K4, K5, K6, K7, K8, K9;
45
wire    [1:48]  K10, K11, K12, K13, K14, K15, K16;
46
reg     [55:0]   K_r0, K_r1, K_r2, K_r3, K_r4, K_r5, K_r6, K_r7;
47
reg     [55:0]   K_r8, K_r9, K_r10, K_r11, K_r12, K_r13, K_r14;
48
 
49
always @(posedge clk)
50
   begin
51
        K_r0  <= #1 K;
52
        K_r1  <= #1 K_r0;
53
        K_r2  <= #1 K_r1;
54
        K_r3  <= #1 K_r2;
55
        K_r4  <= #1 K_r3;
56
        K_r5  <= #1 K_r4;
57
        K_r6  <= #1 K_r5;
58
        K_r7  <= #1 K_r6;
59
        K_r8  <= #1 K_r7;
60
        K_r9  <= #1 K_r8;
61
        K_r10 <= #1 K_r9;
62
        K_r11 <= #1 K_r10;
63
        K_r12 <= #1 K_r11;
64
        K_r13 <= #1 K_r12;
65
        K_r14 <= #1 K_r13;
66
   end
67
 
68
assign K16[1] = decrypt ? K_r14[47] : K_r14[40];
69
assign K16[2] = decrypt ? K_r14[11] : K_r14[4];
70
assign K16[3] = decrypt ? K_r14[26] : K_r14[19];
71
assign K16[4] = decrypt ? K_r14[3] : K_r14[53];
72
assign K16[5] = decrypt ? K_r14[13] : K_r14[6];
73
assign K16[6] = decrypt ? K_r14[41] : K_r14[34];
74
assign K16[7] = decrypt ? K_r14[27] : K_r14[20];
75
assign K16[8] = decrypt ? K_r14[6] : K_r14[24];
76
assign K16[9] = decrypt ? K_r14[54] : K_r14[47];
77
assign K16[10] = decrypt ? K_r14[48] : K_r14[41];
78
assign K16[11] = decrypt ? K_r14[39] : K_r14[32];
79
assign K16[12] = decrypt ? K_r14[19] : K_r14[12];
80
assign K16[13] = decrypt ? K_r14[53] : K_r14[46];
81
assign K16[14] = decrypt ? K_r14[25] : K_r14[18];
82
assign K16[15] = decrypt ? K_r14[33] : K_r14[26];
83
assign K16[16] = decrypt ? K_r14[34] : K_r14[27];
84
assign K16[17] = decrypt ? K_r14[17] : K_r14[10];
85
assign K16[18] = decrypt ? K_r14[5] : K_r14[55];
86
assign K16[19] = decrypt ? K_r14[4] : K_r14[54];
87
assign K16[20] = decrypt ? K_r14[55] : K_r14[48];
88
assign K16[21] = decrypt ? K_r14[24] : K_r14[17];
89
assign K16[22] = decrypt ? K_r14[32] : K_r14[25];
90
assign K16[23] = decrypt ? K_r14[40] : K_r14[33];
91
assign K16[24] = decrypt ? K_r14[20] : K_r14[13];
92
assign K16[25] = decrypt ? K_r14[36] : K_r14[29];
93
assign K16[26] = decrypt ? K_r14[31] : K_r14[51];
94
assign K16[27] = decrypt ? K_r14[21] : K_r14[14];
95
assign K16[28] = decrypt ? K_r14[8] : K_r14[1];
96
assign K16[29] = decrypt ? K_r14[23] : K_r14[16];
97
assign K16[30] = decrypt ? K_r14[52] : K_r14[45];
98
assign K16[31] = decrypt ? K_r14[14] : K_r14[7];
99
assign K16[32] = decrypt ? K_r14[29] : K_r14[22];
100
assign K16[33] = decrypt ? K_r14[51] : K_r14[44];
101
assign K16[34] = decrypt ? K_r14[9] : K_r14[2];
102
assign K16[35] = decrypt ? K_r14[35] : K_r14[28];
103
assign K16[36] = decrypt ? K_r14[30] : K_r14[23];
104
assign K16[37] = decrypt ? K_r14[2] : K_r14[50];
105
assign K16[38] = decrypt ? K_r14[37] : K_r14[30];
106
assign K16[39] = decrypt ? K_r14[22] : K_r14[15];
107
assign K16[40] = decrypt ? K_r14[0] : K_r14[52];
108
assign K16[41] = decrypt ? K_r14[42] : K_r14[35];
109
assign K16[42] = decrypt ? K_r14[38] : K_r14[31];
110
assign K16[43] = decrypt ? K_r14[16] : K_r14[9];
111
assign K16[44] = decrypt ? K_r14[43] : K_r14[36];
112
assign K16[45] = decrypt ? K_r14[44] : K_r14[37];
113
assign K16[46] = decrypt ? K_r14[1] : K_r14[49];
114
assign K16[47] = decrypt ? K_r14[7] : K_r14[0];
115
assign K16[48] = decrypt ? K_r14[28] : K_r14[21];
116
 
117
assign K15[1] = decrypt ? K_r13[54] : K_r13[33];
118
assign K15[2] = decrypt ? K_r13[18] : K_r13[54];
119
assign K15[3] = decrypt ? K_r13[33] : K_r13[12];
120
assign K15[4] = decrypt ? K_r13[10] : K_r13[46];
121
assign K15[5] = decrypt ? K_r13[20] : K_r13[24];
122
assign K15[6] = decrypt ? K_r13[48] : K_r13[27];
123
assign K15[7] = decrypt ? K_r13[34] : K_r13[13];
124
assign K15[8] = decrypt ? K_r13[13] : K_r13[17];
125
assign K15[9] = decrypt ? K_r13[4] : K_r13[40];
126
assign K15[10] = decrypt ? K_r13[55] : K_r13[34];
127
assign K15[11] = decrypt ? K_r13[46] : K_r13[25];
128
assign K15[12] = decrypt ? K_r13[26] : K_r13[5];
129
assign K15[13] = decrypt ? K_r13[3] : K_r13[39];
130
assign K15[14] = decrypt ? K_r13[32] : K_r13[11];
131
assign K15[15] = decrypt ? K_r13[40] : K_r13[19];
132
assign K15[16] = decrypt ? K_r13[41] : K_r13[20];
133
assign K15[17] = decrypt ? K_r13[24] : K_r13[3];
134
assign K15[18] = decrypt ? K_r13[12] : K_r13[48];
135
assign K15[19] = decrypt ? K_r13[11] : K_r13[47];
136
assign K15[20] = decrypt ? K_r13[5] : K_r13[41];
137
assign K15[21] = decrypt ? K_r13[6] : K_r13[10];
138
assign K15[22] = decrypt ? K_r13[39] : K_r13[18];
139
assign K15[23] = decrypt ? K_r13[47] : K_r13[26];
140
assign K15[24] = decrypt ? K_r13[27] : K_r13[6];
141
assign K15[25] = decrypt ? K_r13[43] : K_r13[22];
142
assign K15[26] = decrypt ? K_r13[38] : K_r13[44];
143
assign K15[27] = decrypt ? K_r13[28] : K_r13[7];
144
assign K15[28] = decrypt ? K_r13[15] : K_r13[49];
145
assign K15[29] = decrypt ? K_r13[30] : K_r13[9];
146
assign K15[30] = decrypt ? K_r13[0] : K_r13[38];
147
assign K15[31] = decrypt ? K_r13[21] : K_r13[0];
148
assign K15[32] = decrypt ? K_r13[36] : K_r13[15];
149
assign K15[33] = decrypt ? K_r13[31] : K_r13[37];
150
assign K15[34] = decrypt ? K_r13[16] : K_r13[50];
151
assign K15[35] = decrypt ? K_r13[42] : K_r13[21];
152
assign K15[36] = decrypt ? K_r13[37] : K_r13[16];
153
assign K15[37] = decrypt ? K_r13[9] : K_r13[43];
154
assign K15[38] = decrypt ? K_r13[44] : K_r13[23];
155
assign K15[39] = decrypt ? K_r13[29] : K_r13[8];
156
assign K15[40] = decrypt ? K_r13[7] : K_r13[45];
157
assign K15[41] = decrypt ? K_r13[49] : K_r13[28];
158
assign K15[42] = decrypt ? K_r13[45] : K_r13[51];
159
assign K15[43] = decrypt ? K_r13[23] : K_r13[2];
160
assign K15[44] = decrypt ? K_r13[50] : K_r13[29];
161
assign K15[45] = decrypt ? K_r13[51] : K_r13[30];
162
assign K15[46] = decrypt ? K_r13[8] : K_r13[42];
163
assign K15[47] = decrypt ? K_r13[14] : K_r13[52];
164
assign K15[48] = decrypt ? K_r13[35] : K_r13[14];
165
 
166
assign K14[1] = decrypt ? K_r12[11] : K_r12[19];
167
assign K14[2] = decrypt ? K_r12[32] : K_r12[40];
168
assign K14[3] = decrypt ? K_r12[47] : K_r12[55];
169
assign K14[4] = decrypt ? K_r12[24] : K_r12[32];
170
assign K14[5] = decrypt ? K_r12[34] : K_r12[10];
171
assign K14[6] = decrypt ? K_r12[5] : K_r12[13];
172
assign K14[7] = decrypt ? K_r12[48] : K_r12[24];
173
assign K14[8] = decrypt ? K_r12[27] : K_r12[3];
174
assign K14[9] = decrypt ? K_r12[18] : K_r12[26];
175
assign K14[10] = decrypt ? K_r12[12] : K_r12[20];
176
assign K14[11] = decrypt ? K_r12[3] : K_r12[11];
177
assign K14[12] = decrypt ? K_r12[40] : K_r12[48];
178
assign K14[13] = decrypt ? K_r12[17] : K_r12[25];
179
assign K14[14] = decrypt ? K_r12[46] : K_r12[54];
180
assign K14[15] = decrypt ? K_r12[54] : K_r12[5];
181
assign K14[16] = decrypt ? K_r12[55] : K_r12[6];
182
assign K14[17] = decrypt ? K_r12[13] : K_r12[46];
183
assign K14[18] = decrypt ? K_r12[26] : K_r12[34];
184
assign K14[19] = decrypt ? K_r12[25] : K_r12[33];
185
assign K14[20] = decrypt ? K_r12[19] : K_r12[27];
186
assign K14[21] = decrypt ? K_r12[20] : K_r12[53];
187
assign K14[22] = decrypt ? K_r12[53] : K_r12[4];
188
assign K14[23] = decrypt ? K_r12[4] : K_r12[12];
189
assign K14[24] = decrypt ? K_r12[41] : K_r12[17];
190
assign K14[25] = decrypt ? K_r12[2] : K_r12[8];
191
assign K14[26] = decrypt ? K_r12[52] : K_r12[30];
192
assign K14[27] = decrypt ? K_r12[42] : K_r12[52];
193
assign K14[28] = decrypt ? K_r12[29] : K_r12[35];
194
assign K14[29] = decrypt ? K_r12[44] : K_r12[50];
195
assign K14[30] = decrypt ? K_r12[14] : K_r12[51];
196
assign K14[31] = decrypt ? K_r12[35] : K_r12[45];
197
assign K14[32] = decrypt ? K_r12[50] : K_r12[1];
198
assign K14[33] = decrypt ? K_r12[45] : K_r12[23];
199
assign K14[34] = decrypt ? K_r12[30] : K_r12[36];
200
assign K14[35] = decrypt ? K_r12[1] : K_r12[7];
201
assign K14[36] = decrypt ? K_r12[51] : K_r12[2];
202
assign K14[37] = decrypt ? K_r12[23] : K_r12[29];
203
assign K14[38] = decrypt ? K_r12[31] : K_r12[9];
204
assign K14[39] = decrypt ? K_r12[43] : K_r12[49];
205
assign K14[40] = decrypt ? K_r12[21] : K_r12[31];
206
assign K14[41] = decrypt ? K_r12[8] : K_r12[14];
207
assign K14[42] = decrypt ? K_r12[0] : K_r12[37];
208
assign K14[43] = decrypt ? K_r12[37] : K_r12[43];
209
assign K14[44] = decrypt ? K_r12[9] : K_r12[15];
210
assign K14[45] = decrypt ? K_r12[38] : K_r12[16];
211
assign K14[46] = decrypt ? K_r12[22] : K_r12[28];
212
assign K14[47] = decrypt ? K_r12[28] : K_r12[38];
213
assign K14[48] = decrypt ? K_r12[49] : K_r12[0];
214
 
215
assign K13[1] = decrypt ? K_r11[25] : K_r11[5];
216
assign K13[2] = decrypt ? K_r11[46] : K_r11[26];
217
assign K13[3] = decrypt ? K_r11[4] : K_r11[41];
218
assign K13[4] = decrypt ? K_r11[13] : K_r11[18];
219
assign K13[5] = decrypt ? K_r11[48] : K_r11[53];
220
assign K13[6] = decrypt ? K_r11[19] : K_r11[24];
221
assign K13[7] = decrypt ? K_r11[5] : K_r11[10];
222
assign K13[8] = decrypt ? K_r11[41] : K_r11[46];
223
assign K13[9] = decrypt ? K_r11[32] : K_r11[12];
224
assign K13[10] = decrypt ? K_r11[26] : K_r11[6];
225
assign K13[11] = decrypt ? K_r11[17] : K_r11[54];
226
assign K13[12] = decrypt ? K_r11[54] : K_r11[34];
227
assign K13[13] = decrypt ? K_r11[6] : K_r11[11];
228
assign K13[14] = decrypt ? K_r11[3] : K_r11[40];
229
assign K13[15] = decrypt ? K_r11[11] : K_r11[48];
230
assign K13[16] = decrypt ? K_r11[12] : K_r11[17];
231
assign K13[17] = decrypt ? K_r11[27] : K_r11[32];
232
assign K13[18] = decrypt ? K_r11[40] : K_r11[20];
233
assign K13[19] = decrypt ? K_r11[39] : K_r11[19];
234
assign K13[20] = decrypt ? K_r11[33] : K_r11[13];
235
assign K13[21] = decrypt ? K_r11[34] : K_r11[39];
236
assign K13[22] = decrypt ? K_r11[10] : K_r11[47];
237
assign K13[23] = decrypt ? K_r11[18] : K_r11[55];
238
assign K13[24] = decrypt ? K_r11[55] : K_r11[3];
239
assign K13[25] = decrypt ? K_r11[16] : K_r11[49];
240
assign K13[26] = decrypt ? K_r11[7] : K_r11[16];
241
assign K13[27] = decrypt ? K_r11[1] : K_r11[38];
242
assign K13[28] = decrypt ? K_r11[43] : K_r11[21];
243
assign K13[29] = decrypt ? K_r11[31] : K_r11[36];
244
assign K13[30] = decrypt ? K_r11[28] : K_r11[37];
245
assign K13[31] = decrypt ? K_r11[49] : K_r11[31];
246
assign K13[32] = decrypt ? K_r11[9] : K_r11[42];
247
assign K13[33] = decrypt ? K_r11[0] : K_r11[9];
248
assign K13[34] = decrypt ? K_r11[44] : K_r11[22];
249
assign K13[35] = decrypt ? K_r11[15] : K_r11[52];
250
assign K13[36] = decrypt ? K_r11[38] : K_r11[43];
251
assign K13[37] = decrypt ? K_r11[37] : K_r11[15];
252
assign K13[38] = decrypt ? K_r11[45] : K_r11[50];
253
assign K13[39] = decrypt ? K_r11[2] : K_r11[35];
254
assign K13[40] = decrypt ? K_r11[35] : K_r11[44];
255
assign K13[41] = decrypt ? K_r11[22] : K_r11[0];
256
assign K13[42] = decrypt ? K_r11[14] : K_r11[23];
257
assign K13[43] = decrypt ? K_r11[51] : K_r11[29];
258
assign K13[44] = decrypt ? K_r11[23] : K_r11[1];
259
assign K13[45] = decrypt ? K_r11[52] : K_r11[2];
260
assign K13[46] = decrypt ? K_r11[36] : K_r11[14];
261
assign K13[47] = decrypt ? K_r11[42] : K_r11[51];
262
assign K13[48] = decrypt ? K_r11[8] : K_r11[45];
263
 
264
assign K12[1] = decrypt ? K_r10[39] : K_r10[48];
265
assign K12[2] = decrypt ? K_r10[3] : K_r10[12];
266
assign K12[3] = decrypt ? K_r10[18] : K_r10[27];
267
assign K12[4] = decrypt ? K_r10[27] : K_r10[4];
268
assign K12[5] = decrypt ? K_r10[5] : K_r10[39];
269
assign K12[6] = decrypt ? K_r10[33] : K_r10[10];
270
assign K12[7] = decrypt ? K_r10[19] : K_r10[53];
271
assign K12[8] = decrypt ? K_r10[55] : K_r10[32];
272
assign K12[9] = decrypt ? K_r10[46] : K_r10[55];
273
assign K12[10] = decrypt ? K_r10[40] : K_r10[17];
274
assign K12[11] = decrypt ? K_r10[6] : K_r10[40];
275
assign K12[12] = decrypt ? K_r10[11] : K_r10[20];
276
assign K12[13] = decrypt ? K_r10[20] : K_r10[54];
277
assign K12[14] = decrypt ? K_r10[17] : K_r10[26];
278
assign K12[15] = decrypt ? K_r10[25] : K_r10[34];
279
assign K12[16] = decrypt ? K_r10[26] : K_r10[3];
280
assign K12[17] = decrypt ? K_r10[41] : K_r10[18];
281
assign K12[18] = decrypt ? K_r10[54] : K_r10[6];
282
assign K12[19] = decrypt ? K_r10[53] : K_r10[5];
283
assign K12[20] = decrypt ? K_r10[47] : K_r10[24];
284
assign K12[21] = decrypt ? K_r10[48] : K_r10[25];
285
assign K12[22] = decrypt ? K_r10[24] : K_r10[33];
286
assign K12[23] = decrypt ? K_r10[32] : K_r10[41];
287
assign K12[24] = decrypt ? K_r10[12] : K_r10[46];
288
assign K12[25] = decrypt ? K_r10[30] : K_r10[35];
289
assign K12[26] = decrypt ? K_r10[21] : K_r10[2];
290
assign K12[27] = decrypt ? K_r10[15] : K_r10[51];
291
assign K12[28] = decrypt ? K_r10[2] : K_r10[7];
292
assign K12[29] = decrypt ? K_r10[45] : K_r10[22];
293
assign K12[30] = decrypt ? K_r10[42] : K_r10[23];
294
assign K12[31] = decrypt ? K_r10[8] : K_r10[44];
295
assign K12[32] = decrypt ? K_r10[23] : K_r10[28];
296
assign K12[33] = decrypt ? K_r10[14] : K_r10[50];
297
assign K12[34] = decrypt ? K_r10[31] : K_r10[8];
298
assign K12[35] = decrypt ? K_r10[29] : K_r10[38];
299
assign K12[36] = decrypt ? K_r10[52] : K_r10[29];
300
assign K12[37] = decrypt ? K_r10[51] : K_r10[1];
301
assign K12[38] = decrypt ? K_r10[0] : K_r10[36];
302
assign K12[39] = decrypt ? K_r10[16] : K_r10[21];
303
assign K12[40] = decrypt ? K_r10[49] : K_r10[30];
304
assign K12[41] = decrypt ? K_r10[36] : K_r10[45];
305
assign K12[42] = decrypt ? K_r10[28] : K_r10[9];
306
assign K12[43] = decrypt ? K_r10[38] : K_r10[15];
307
assign K12[44] = decrypt ? K_r10[37] : K_r10[42];
308
assign K12[45] = decrypt ? K_r10[7] : K_r10[43];
309
assign K12[46] = decrypt ? K_r10[50] : K_r10[0];
310
assign K12[47] = decrypt ? K_r10[1] : K_r10[37];
311
assign K12[48] = decrypt ? K_r10[22] : K_r10[31];
312
 
313
assign K11[1] = decrypt ? K_r9[53] : K_r9[34];
314
assign K11[2] = decrypt ? K_r9[17] : K_r9[55];
315
assign K11[3] = decrypt ? K_r9[32] : K_r9[13];
316
assign K11[4] = decrypt ? K_r9[41] : K_r9[47];
317
assign K11[5] = decrypt ? K_r9[19] : K_r9[25];
318
assign K11[6] = decrypt ? K_r9[47] : K_r9[53];
319
assign K11[7] = decrypt ? K_r9[33] : K_r9[39];
320
assign K11[8] = decrypt ? K_r9[12] : K_r9[18];
321
assign K11[9] = decrypt ? K_r9[3] : K_r9[41];
322
assign K11[10] = decrypt ? K_r9[54] : K_r9[3];
323
assign K11[11] = decrypt ? K_r9[20] : K_r9[26];
324
assign K11[12] = decrypt ? K_r9[25] : K_r9[6];
325
assign K11[13] = decrypt ? K_r9[34] : K_r9[40];
326
assign K11[14] = decrypt ? K_r9[6] : K_r9[12];
327
assign K11[15] = decrypt ? K_r9[39] : K_r9[20];
328
assign K11[16] = decrypt ? K_r9[40] : K_r9[46];
329
assign K11[17] = decrypt ? K_r9[55] : K_r9[4];
330
assign K11[18] = decrypt ? K_r9[11] : K_r9[17];
331
assign K11[19] = decrypt ? K_r9[10] : K_r9[48];
332
assign K11[20] = decrypt ? K_r9[4] : K_r9[10];
333
assign K11[21] = decrypt ? K_r9[5] : K_r9[11];
334
assign K11[22] = decrypt ? K_r9[13] : K_r9[19];
335
assign K11[23] = decrypt ? K_r9[46] : K_r9[27];
336
assign K11[24] = decrypt ? K_r9[26] : K_r9[32];
337
assign K11[25] = decrypt ? K_r9[44] : K_r9[21];
338
assign K11[26] = decrypt ? K_r9[35] : K_r9[43];
339
assign K11[27] = decrypt ? K_r9[29] : K_r9[37];
340
assign K11[28] = decrypt ? K_r9[16] : K_r9[52];
341
assign K11[29] = decrypt ? K_r9[0] : K_r9[8];
342
assign K11[30] = decrypt ? K_r9[1] : K_r9[9];
343
assign K11[31] = decrypt ? K_r9[22] : K_r9[30];
344
assign K11[32] = decrypt ? K_r9[37] : K_r9[14];
345
assign K11[33] = decrypt ? K_r9[28] : K_r9[36];
346
assign K11[34] = decrypt ? K_r9[45] : K_r9[49];
347
assign K11[35] = decrypt ? K_r9[43] : K_r9[51];
348
assign K11[36] = decrypt ? K_r9[7] : K_r9[15];
349
assign K11[37] = decrypt ? K_r9[38] : K_r9[42];
350
assign K11[38] = decrypt ? K_r9[14] : K_r9[22];
351
assign K11[39] = decrypt ? K_r9[30] : K_r9[7];
352
assign K11[40] = decrypt ? K_r9[8] : K_r9[16];
353
assign K11[41] = decrypt ? K_r9[50] : K_r9[31];
354
assign K11[42] = decrypt ? K_r9[42] : K_r9[50];
355
assign K11[43] = decrypt ? K_r9[52] : K_r9[1];
356
assign K11[44] = decrypt ? K_r9[51] : K_r9[28];
357
assign K11[45] = decrypt ? K_r9[21] : K_r9[29];
358
assign K11[46] = decrypt ? K_r9[9] : K_r9[45];
359
assign K11[47] = decrypt ? K_r9[15] : K_r9[23];
360
assign K11[48] = decrypt ? K_r9[36] : K_r9[44];
361
 
362
assign K10[1] = decrypt ? K_r8[10] : K_r8[20];
363
assign K10[2] = decrypt ? K_r8[6] : K_r8[41];
364
assign K10[3] = decrypt ? K_r8[46] : K_r8[24];
365
assign K10[4] = decrypt ? K_r8[55] : K_r8[33];
366
assign K10[5] = decrypt ? K_r8[33] : K_r8[11];
367
assign K10[6] = decrypt ? K_r8[4] : K_r8[39];
368
assign K10[7] = decrypt ? K_r8[47] : K_r8[25];
369
assign K10[8] = decrypt ? K_r8[26] : K_r8[4];
370
assign K10[9] = decrypt ? K_r8[17] : K_r8[27];
371
assign K10[10] = decrypt ? K_r8[11] : K_r8[46];
372
assign K10[11] = decrypt ? K_r8[34] : K_r8[12];
373
assign K10[12] = decrypt ? K_r8[39] : K_r8[17];
374
assign K10[13] = decrypt ? K_r8[48] : K_r8[26];
375
assign K10[14] = decrypt ? K_r8[20] : K_r8[55];
376
assign K10[15] = decrypt ? K_r8[53] : K_r8[6];
377
assign K10[16] = decrypt ? K_r8[54] : K_r8[32];
378
assign K10[17] = decrypt ? K_r8[12] : K_r8[47];
379
assign K10[18] = decrypt ? K_r8[25] : K_r8[3];
380
assign K10[19] = decrypt ? K_r8[24] : K_r8[34];
381
assign K10[20] = decrypt ? K_r8[18] : K_r8[53];
382
assign K10[21] = decrypt ? K_r8[19] : K_r8[54];
383
assign K10[22] = decrypt ? K_r8[27] : K_r8[5];
384
assign K10[23] = decrypt ? K_r8[3] : K_r8[13];
385
assign K10[24] = decrypt ? K_r8[40] : K_r8[18];
386
assign K10[25] = decrypt ? K_r8[31] : K_r8[7];
387
assign K10[26] = decrypt ? K_r8[49] : K_r8[29];
388
assign K10[27] = decrypt ? K_r8[43] : K_r8[23];
389
assign K10[28] = decrypt ? K_r8[30] : K_r8[38];
390
assign K10[29] = decrypt ? K_r8[14] : K_r8[49];
391
assign K10[30] = decrypt ? K_r8[15] : K_r8[50];
392
assign K10[31] = decrypt ? K_r8[36] : K_r8[16];
393
assign K10[32] = decrypt ? K_r8[51] : K_r8[0];
394
assign K10[33] = decrypt ? K_r8[42] : K_r8[22];
395
assign K10[34] = decrypt ? K_r8[0] : K_r8[35];
396
assign K10[35] = decrypt ? K_r8[2] : K_r8[37];
397
assign K10[36] = decrypt ? K_r8[21] : K_r8[1];
398
assign K10[37] = decrypt ? K_r8[52] : K_r8[28];
399
assign K10[38] = decrypt ? K_r8[28] : K_r8[8];
400
assign K10[39] = decrypt ? K_r8[44] : K_r8[52];
401
assign K10[40] = decrypt ? K_r8[22] : K_r8[2];
402
assign K10[41] = decrypt ? K_r8[9] : K_r8[44];
403
assign K10[42] = decrypt ? K_r8[1] : K_r8[36];
404
assign K10[43] = decrypt ? K_r8[7] : K_r8[42];
405
assign K10[44] = decrypt ? K_r8[38] : K_r8[14];
406
assign K10[45] = decrypt ? K_r8[35] : K_r8[15];
407
assign K10[46] = decrypt ? K_r8[23] : K_r8[31];
408
assign K10[47] = decrypt ? K_r8[29] : K_r8[9];
409
assign K10[48] = decrypt ? K_r8[50] : K_r8[30];
410
 
411
assign K9[1] = decrypt ? K_r7[24] : K_r7[6];
412
assign K9[2] = decrypt ? K_r7[20] : K_r7[27];
413
assign K9[3] = decrypt ? K_r7[3] : K_r7[10];
414
assign K9[4] = decrypt ? K_r7[12] : K_r7[19];
415
assign K9[5] = decrypt ? K_r7[47] : K_r7[54];
416
assign K9[6] = decrypt ? K_r7[18] : K_r7[25];
417
assign K9[7] = decrypt ? K_r7[4] : K_r7[11];
418
assign K9[8] = decrypt ? K_r7[40] : K_r7[47];
419
assign K9[9] = decrypt ? K_r7[6] : K_r7[13];
420
assign K9[10] = decrypt ? K_r7[25] : K_r7[32];
421
assign K9[11] = decrypt ? K_r7[48] : K_r7[55];
422
assign K9[12] = decrypt ? K_r7[53] : K_r7[3];
423
assign K9[13] = decrypt ? K_r7[5] : K_r7[12];
424
assign K9[14] = decrypt ? K_r7[34] : K_r7[41];
425
assign K9[15] = decrypt ? K_r7[10] : K_r7[17];
426
assign K9[16] = decrypt ? K_r7[11] : K_r7[18];
427
assign K9[17] = decrypt ? K_r7[26] : K_r7[33];
428
assign K9[18] = decrypt ? K_r7[39] : K_r7[46];
429
assign K9[19] = decrypt ? K_r7[13] : K_r7[20];
430
assign K9[20] = decrypt ? K_r7[32] : K_r7[39];
431
assign K9[21] = decrypt ? K_r7[33] : K_r7[40];
432
assign K9[22] = decrypt ? K_r7[41] : K_r7[48];
433
assign K9[23] = decrypt ? K_r7[17] : K_r7[24];
434
assign K9[24] = decrypt ? K_r7[54] : K_r7[4];
435
assign K9[25] = decrypt ? K_r7[45] : K_r7[52];
436
assign K9[26] = decrypt ? K_r7[8] : K_r7[15];
437
assign K9[27] = decrypt ? K_r7[2] : K_r7[9];
438
assign K9[28] = decrypt ? K_r7[44] : K_r7[51];
439
assign K9[29] = decrypt ? K_r7[28] : K_r7[35];
440
assign K9[30] = decrypt ? K_r7[29] : K_r7[36];
441
assign K9[31] = decrypt ? K_r7[50] : K_r7[2];
442
assign K9[32] = decrypt ? K_r7[38] : K_r7[45];
443
assign K9[33] = decrypt ? K_r7[1] : K_r7[8];
444
assign K9[34] = decrypt ? K_r7[14] : K_r7[21];
445
assign K9[35] = decrypt ? K_r7[16] : K_r7[23];
446
assign K9[36] = decrypt ? K_r7[35] : K_r7[42];
447
assign K9[37] = decrypt ? K_r7[7] : K_r7[14];
448
assign K9[38] = decrypt ? K_r7[42] : K_r7[49];
449
assign K9[39] = decrypt ? K_r7[31] : K_r7[38];
450
assign K9[40] = decrypt ? K_r7[36] : K_r7[43];
451
assign K9[41] = decrypt ? K_r7[23] : K_r7[30];
452
assign K9[42] = decrypt ? K_r7[15] : K_r7[22];
453
assign K9[43] = decrypt ? K_r7[21] : K_r7[28];
454
assign K9[44] = decrypt ? K_r7[52] : K_r7[0];
455
assign K9[45] = decrypt ? K_r7[49] : K_r7[1];
456
assign K9[46] = decrypt ? K_r7[37] : K_r7[44];
457
assign K9[47] = decrypt ? K_r7[43] : K_r7[50];
458
assign K9[48] = decrypt ? K_r7[9] : K_r7[16];
459
 
460
assign K8[1] = decrypt ? K_r6[6] : K_r6[24];
461
assign K8[2] = decrypt ? K_r6[27] : K_r6[20];
462
assign K8[3] = decrypt ? K_r6[10] : K_r6[3];
463
assign K8[4] = decrypt ? K_r6[19] : K_r6[12];
464
assign K8[5] = decrypt ? K_r6[54] : K_r6[47];
465
assign K8[6] = decrypt ? K_r6[25] : K_r6[18];
466
assign K8[7] = decrypt ? K_r6[11] : K_r6[4];
467
assign K8[8] = decrypt ? K_r6[47] : K_r6[40];
468
assign K8[9] = decrypt ? K_r6[13] : K_r6[6];
469
assign K8[10] = decrypt ? K_r6[32] : K_r6[25];
470
assign K8[11] = decrypt ? K_r6[55] : K_r6[48];
471
assign K8[12] = decrypt ? K_r6[3] : K_r6[53];
472
assign K8[13] = decrypt ? K_r6[12] : K_r6[5];
473
assign K8[14] = decrypt ? K_r6[41] : K_r6[34];
474
assign K8[15] = decrypt ? K_r6[17] : K_r6[10];
475
assign K8[16] = decrypt ? K_r6[18] : K_r6[11];
476
assign K8[17] = decrypt ? K_r6[33] : K_r6[26];
477
assign K8[18] = decrypt ? K_r6[46] : K_r6[39];
478
assign K8[19] = decrypt ? K_r6[20] : K_r6[13];
479
assign K8[20] = decrypt ? K_r6[39] : K_r6[32];
480
assign K8[21] = decrypt ? K_r6[40] : K_r6[33];
481
assign K8[22] = decrypt ? K_r6[48] : K_r6[41];
482
assign K8[23] = decrypt ? K_r6[24] : K_r6[17];
483
assign K8[24] = decrypt ? K_r6[4] : K_r6[54];
484
assign K8[25] = decrypt ? K_r6[52] : K_r6[45];
485
assign K8[26] = decrypt ? K_r6[15] : K_r6[8];
486
assign K8[27] = decrypt ? K_r6[9] : K_r6[2];
487
assign K8[28] = decrypt ? K_r6[51] : K_r6[44];
488
assign K8[29] = decrypt ? K_r6[35] : K_r6[28];
489
assign K8[30] = decrypt ? K_r6[36] : K_r6[29];
490
assign K8[31] = decrypt ? K_r6[2] : K_r6[50];
491
assign K8[32] = decrypt ? K_r6[45] : K_r6[38];
492
assign K8[33] = decrypt ? K_r6[8] : K_r6[1];
493
assign K8[34] = decrypt ? K_r6[21] : K_r6[14];
494
assign K8[35] = decrypt ? K_r6[23] : K_r6[16];
495
assign K8[36] = decrypt ? K_r6[42] : K_r6[35];
496
assign K8[37] = decrypt ? K_r6[14] : K_r6[7];
497
assign K8[38] = decrypt ? K_r6[49] : K_r6[42];
498
assign K8[39] = decrypt ? K_r6[38] : K_r6[31];
499
assign K8[40] = decrypt ? K_r6[43] : K_r6[36];
500
assign K8[41] = decrypt ? K_r6[30] : K_r6[23];
501
assign K8[42] = decrypt ? K_r6[22] : K_r6[15];
502
assign K8[43] = decrypt ? K_r6[28] : K_r6[21];
503
assign K8[44] = decrypt ? K_r6[0] : K_r6[52];
504
assign K8[45] = decrypt ? K_r6[1] : K_r6[49];
505
assign K8[46] = decrypt ? K_r6[44] : K_r6[37];
506
assign K8[47] = decrypt ? K_r6[50] : K_r6[43];
507
assign K8[48] = decrypt ? K_r6[16] : K_r6[9];
508
 
509
assign K7[1] = decrypt ? K_r5[20] : K_r5[10];
510
assign K7[2] = decrypt ? K_r5[41] : K_r5[6];
511
assign K7[3] = decrypt ? K_r5[24] : K_r5[46];
512
assign K7[4] = decrypt ? K_r5[33] : K_r5[55];
513
assign K7[5] = decrypt ? K_r5[11] : K_r5[33];
514
assign K7[6] = decrypt ? K_r5[39] : K_r5[4];
515
assign K7[7] = decrypt ? K_r5[25] : K_r5[47];
516
assign K7[8] = decrypt ? K_r5[4] : K_r5[26];
517
assign K7[9] = decrypt ? K_r5[27] : K_r5[17];
518
assign K7[10] = decrypt ? K_r5[46] : K_r5[11];
519
assign K7[11] = decrypt ? K_r5[12] : K_r5[34];
520
assign K7[12] = decrypt ? K_r5[17] : K_r5[39];
521
assign K7[13] = decrypt ? K_r5[26] : K_r5[48];
522
assign K7[14] = decrypt ? K_r5[55] : K_r5[20];
523
assign K7[15] = decrypt ? K_r5[6] : K_r5[53];
524
assign K7[16] = decrypt ? K_r5[32] : K_r5[54];
525
assign K7[17] = decrypt ? K_r5[47] : K_r5[12];
526
assign K7[18] = decrypt ? K_r5[3] : K_r5[25];
527
assign K7[19] = decrypt ? K_r5[34] : K_r5[24];
528
assign K7[20] = decrypt ? K_r5[53] : K_r5[18];
529
assign K7[21] = decrypt ? K_r5[54] : K_r5[19];
530
assign K7[22] = decrypt ? K_r5[5] : K_r5[27];
531
assign K7[23] = decrypt ? K_r5[13] : K_r5[3];
532
assign K7[24] = decrypt ? K_r5[18] : K_r5[40];
533
assign K7[25] = decrypt ? K_r5[7] : K_r5[31];
534
assign K7[26] = decrypt ? K_r5[29] : K_r5[49];
535
assign K7[27] = decrypt ? K_r5[23] : K_r5[43];
536
assign K7[28] = decrypt ? K_r5[38] : K_r5[30];
537
assign K7[29] = decrypt ? K_r5[49] : K_r5[14];
538
assign K7[30] = decrypt ? K_r5[50] : K_r5[15];
539
assign K7[31] = decrypt ? K_r5[16] : K_r5[36];
540
assign K7[32] = decrypt ? K_r5[0] : K_r5[51];
541
assign K7[33] = decrypt ? K_r5[22] : K_r5[42];
542
assign K7[34] = decrypt ? K_r5[35] : K_r5[0];
543
assign K7[35] = decrypt ? K_r5[37] : K_r5[2];
544
assign K7[36] = decrypt ? K_r5[1] : K_r5[21];
545
assign K7[37] = decrypt ? K_r5[28] : K_r5[52];
546
assign K7[38] = decrypt ? K_r5[8] : K_r5[28];
547
assign K7[39] = decrypt ? K_r5[52] : K_r5[44];
548
assign K7[40] = decrypt ? K_r5[2] : K_r5[22];
549
assign K7[41] = decrypt ? K_r5[44] : K_r5[9];
550
assign K7[42] = decrypt ? K_r5[36] : K_r5[1];
551
assign K7[43] = decrypt ? K_r5[42] : K_r5[7];
552
assign K7[44] = decrypt ? K_r5[14] : K_r5[38];
553
assign K7[45] = decrypt ? K_r5[15] : K_r5[35];
554
assign K7[46] = decrypt ? K_r5[31] : K_r5[23];
555
assign K7[47] = decrypt ? K_r5[9] : K_r5[29];
556
assign K7[48] = decrypt ? K_r5[30] : K_r5[50];
557
 
558
assign K6[1] = decrypt ? K_r4[34] : K_r4[53];
559
assign K6[2] = decrypt ? K_r4[55] : K_r4[17];
560
assign K6[3] = decrypt ? K_r4[13] : K_r4[32];
561
assign K6[4] = decrypt ? K_r4[47] : K_r4[41];
562
assign K6[5] = decrypt ? K_r4[25] : K_r4[19];
563
assign K6[6] = decrypt ? K_r4[53] : K_r4[47];
564
assign K6[7] = decrypt ? K_r4[39] : K_r4[33];
565
assign K6[8] = decrypt ? K_r4[18] : K_r4[12];
566
assign K6[9] = decrypt ? K_r4[41] : K_r4[3];
567
assign K6[10] = decrypt ? K_r4[3] : K_r4[54];
568
assign K6[11] = decrypt ? K_r4[26] : K_r4[20];
569
assign K6[12] = decrypt ? K_r4[6] : K_r4[25];
570
assign K6[13] = decrypt ? K_r4[40] : K_r4[34];
571
assign K6[14] = decrypt ? K_r4[12] : K_r4[6];
572
assign K6[15] = decrypt ? K_r4[20] : K_r4[39];
573
assign K6[16] = decrypt ? K_r4[46] : K_r4[40];
574
assign K6[17] = decrypt ? K_r4[4] : K_r4[55];
575
assign K6[18] = decrypt ? K_r4[17] : K_r4[11];
576
assign K6[19] = decrypt ? K_r4[48] : K_r4[10];
577
assign K6[20] = decrypt ? K_r4[10] : K_r4[4];
578
assign K6[21] = decrypt ? K_r4[11] : K_r4[5];
579
assign K6[22] = decrypt ? K_r4[19] : K_r4[13];
580
assign K6[23] = decrypt ? K_r4[27] : K_r4[46];
581
assign K6[24] = decrypt ? K_r4[32] : K_r4[26];
582
assign K6[25] = decrypt ? K_r4[21] : K_r4[44];
583
assign K6[26] = decrypt ? K_r4[43] : K_r4[35];
584
assign K6[27] = decrypt ? K_r4[37] : K_r4[29];
585
assign K6[28] = decrypt ? K_r4[52] : K_r4[16];
586
assign K6[29] = decrypt ? K_r4[8] : K_r4[0];
587
assign K6[30] = decrypt ? K_r4[9] : K_r4[1];
588
assign K6[31] = decrypt ? K_r4[30] : K_r4[22];
589
assign K6[32] = decrypt ? K_r4[14] : K_r4[37];
590
assign K6[33] = decrypt ? K_r4[36] : K_r4[28];
591
assign K6[34] = decrypt ? K_r4[49] : K_r4[45];
592
assign K6[35] = decrypt ? K_r4[51] : K_r4[43];
593
assign K6[36] = decrypt ? K_r4[15] : K_r4[7];
594
assign K6[37] = decrypt ? K_r4[42] : K_r4[38];
595
assign K6[38] = decrypt ? K_r4[22] : K_r4[14];
596
assign K6[39] = decrypt ? K_r4[7] : K_r4[30];
597
assign K6[40] = decrypt ? K_r4[16] : K_r4[8];
598
assign K6[41] = decrypt ? K_r4[31] : K_r4[50];
599
assign K6[42] = decrypt ? K_r4[50] : K_r4[42];
600
assign K6[43] = decrypt ? K_r4[1] : K_r4[52];
601
assign K6[44] = decrypt ? K_r4[28] : K_r4[51];
602
assign K6[45] = decrypt ? K_r4[29] : K_r4[21];
603
assign K6[46] = decrypt ? K_r4[45] : K_r4[9];
604
assign K6[47] = decrypt ? K_r4[23] : K_r4[15];
605
assign K6[48] = decrypt ? K_r4[44] : K_r4[36];
606
 
607
assign K5[1] = decrypt ? K_r3[48] : K_r3[39];
608
assign K5[2] = decrypt ? K_r3[12] : K_r3[3];
609
assign K5[3] = decrypt ? K_r3[27] : K_r3[18];
610
assign K5[4] = decrypt ? K_r3[4] : K_r3[27];
611
assign K5[5] = decrypt ? K_r3[39] : K_r3[5];
612
assign K5[6] = decrypt ? K_r3[10] : K_r3[33];
613
assign K5[7] = decrypt ? K_r3[53] : K_r3[19];
614
assign K5[8] = decrypt ? K_r3[32] : K_r3[55];
615
assign K5[9] = decrypt ? K_r3[55] : K_r3[46];
616
assign K5[10] = decrypt ? K_r3[17] : K_r3[40];
617
assign K5[11] = decrypt ? K_r3[40] : K_r3[6];
618
assign K5[12] = decrypt ? K_r3[20] : K_r3[11];
619
assign K5[13] = decrypt ? K_r3[54] : K_r3[20];
620
assign K5[14] = decrypt ? K_r3[26] : K_r3[17];
621
assign K5[15] = decrypt ? K_r3[34] : K_r3[25];
622
assign K5[16] = decrypt ? K_r3[3] : K_r3[26];
623
assign K5[17] = decrypt ? K_r3[18] : K_r3[41];
624
assign K5[18] = decrypt ? K_r3[6] : K_r3[54];
625
assign K5[19] = decrypt ? K_r3[5] : K_r3[53];
626
assign K5[20] = decrypt ? K_r3[24] : K_r3[47];
627
assign K5[21] = decrypt ? K_r3[25] : K_r3[48];
628
assign K5[22] = decrypt ? K_r3[33] : K_r3[24];
629
assign K5[23] = decrypt ? K_r3[41] : K_r3[32];
630
assign K5[24] = decrypt ? K_r3[46] : K_r3[12];
631
assign K5[25] = decrypt ? K_r3[35] : K_r3[30];
632
assign K5[26] = decrypt ? K_r3[2] : K_r3[21];
633
assign K5[27] = decrypt ? K_r3[51] : K_r3[15];
634
assign K5[28] = decrypt ? K_r3[7] : K_r3[2];
635
assign K5[29] = decrypt ? K_r3[22] : K_r3[45];
636
assign K5[30] = decrypt ? K_r3[23] : K_r3[42];
637
assign K5[31] = decrypt ? K_r3[44] : K_r3[8];
638
assign K5[32] = decrypt ? K_r3[28] : K_r3[23];
639
assign K5[33] = decrypt ? K_r3[50] : K_r3[14];
640
assign K5[34] = decrypt ? K_r3[8] : K_r3[31];
641
assign K5[35] = decrypt ? K_r3[38] : K_r3[29];
642
assign K5[36] = decrypt ? K_r3[29] : K_r3[52];
643
assign K5[37] = decrypt ? K_r3[1] : K_r3[51];
644
assign K5[38] = decrypt ? K_r3[36] : K_r3[0];
645
assign K5[39] = decrypt ? K_r3[21] : K_r3[16];
646
assign K5[40] = decrypt ? K_r3[30] : K_r3[49];
647
assign K5[41] = decrypt ? K_r3[45] : K_r3[36];
648
assign K5[42] = decrypt ? K_r3[9] : K_r3[28];
649
assign K5[43] = decrypt ? K_r3[15] : K_r3[38];
650
assign K5[44] = decrypt ? K_r3[42] : K_r3[37];
651
assign K5[45] = decrypt ? K_r3[43] : K_r3[7];
652
assign K5[46] = decrypt ? K_r3[0] : K_r3[50];
653
assign K5[47] = decrypt ? K_r3[37] : K_r3[1];
654
assign K5[48] = decrypt ? K_r3[31] : K_r3[22];
655
 
656
assign K4[1] = decrypt ? K_r2[5] : K_r2[25];
657
assign K4[2] = decrypt ? K_r2[26] : K_r2[46];
658
assign K4[3] = decrypt ? K_r2[41] : K_r2[4];
659
assign K4[4] = decrypt ? K_r2[18] : K_r2[13];
660
assign K4[5] = decrypt ? K_r2[53] : K_r2[48];
661
assign K4[6] = decrypt ? K_r2[24] : K_r2[19];
662
assign K4[7] = decrypt ? K_r2[10] : K_r2[5];
663
assign K4[8] = decrypt ? K_r2[46] : K_r2[41];
664
assign K4[9] = decrypt ? K_r2[12] : K_r2[32];
665
assign K4[10] = decrypt ? K_r2[6] : K_r2[26];
666
assign K4[11] = decrypt ? K_r2[54] : K_r2[17];
667
assign K4[12] = decrypt ? K_r2[34] : K_r2[54];
668
assign K4[13] = decrypt ? K_r2[11] : K_r2[6];
669
assign K4[14] = decrypt ? K_r2[40] : K_r2[3];
670
assign K4[15] = decrypt ? K_r2[48] : K_r2[11];
671
assign K4[16] = decrypt ? K_r2[17] : K_r2[12];
672
assign K4[17] = decrypt ? K_r2[32] : K_r2[27];
673
assign K4[18] = decrypt ? K_r2[20] : K_r2[40];
674
assign K4[19] = decrypt ? K_r2[19] : K_r2[39];
675
assign K4[20] = decrypt ? K_r2[13] : K_r2[33];
676
assign K4[21] = decrypt ? K_r2[39] : K_r2[34];
677
assign K4[22] = decrypt ? K_r2[47] : K_r2[10];
678
assign K4[23] = decrypt ? K_r2[55] : K_r2[18];
679
assign K4[24] = decrypt ? K_r2[3] : K_r2[55];
680
assign K4[25] = decrypt ? K_r2[49] : K_r2[16];
681
assign K4[26] = decrypt ? K_r2[16] : K_r2[7];
682
assign K4[27] = decrypt ? K_r2[38] : K_r2[1];
683
assign K4[28] = decrypt ? K_r2[21] : K_r2[43];
684
assign K4[29] = decrypt ? K_r2[36] : K_r2[31];
685
assign K4[30] = decrypt ? K_r2[37] : K_r2[28];
686
assign K4[31] = decrypt ? K_r2[31] : K_r2[49];
687
assign K4[32] = decrypt ? K_r2[42] : K_r2[9];
688
assign K4[33] = decrypt ? K_r2[9] : K_r2[0];
689
assign K4[34] = decrypt ? K_r2[22] : K_r2[44];
690
assign K4[35] = decrypt ? K_r2[52] : K_r2[15];
691
assign K4[36] = decrypt ? K_r2[43] : K_r2[38];
692
assign K4[37] = decrypt ? K_r2[15] : K_r2[37];
693
assign K4[38] = decrypt ? K_r2[50] : K_r2[45];
694
assign K4[39] = decrypt ? K_r2[35] : K_r2[2];
695
assign K4[40] = decrypt ? K_r2[44] : K_r2[35];
696
assign K4[41] = decrypt ? K_r2[0] : K_r2[22];
697
assign K4[42] = decrypt ? K_r2[23] : K_r2[14];
698
assign K4[43] = decrypt ? K_r2[29] : K_r2[51];
699
assign K4[44] = decrypt ? K_r2[1] : K_r2[23];
700
assign K4[45] = decrypt ? K_r2[2] : K_r2[52];
701
assign K4[46] = decrypt ? K_r2[14] : K_r2[36];
702
assign K4[47] = decrypt ? K_r2[51] : K_r2[42];
703
assign K4[48] = decrypt ? K_r2[45] : K_r2[8];
704
 
705
assign K3[1] = decrypt ? K_r1[19] : K_r1[11];
706
assign K3[2] = decrypt ? K_r1[40] : K_r1[32];
707
assign K3[3] = decrypt ? K_r1[55] : K_r1[47];
708
assign K3[4] = decrypt ? K_r1[32] : K_r1[24];
709
assign K3[5] = decrypt ? K_r1[10] : K_r1[34];
710
assign K3[6] = decrypt ? K_r1[13] : K_r1[5];
711
assign K3[7] = decrypt ? K_r1[24] : K_r1[48];
712
assign K3[8] = decrypt ? K_r1[3] : K_r1[27];
713
assign K3[9] = decrypt ? K_r1[26] : K_r1[18];
714
assign K3[10] = decrypt ? K_r1[20] : K_r1[12];
715
assign K3[11] = decrypt ? K_r1[11] : K_r1[3];
716
assign K3[12] = decrypt ? K_r1[48] : K_r1[40];
717
assign K3[13] = decrypt ? K_r1[25] : K_r1[17];
718
assign K3[14] = decrypt ? K_r1[54] : K_r1[46];
719
assign K3[15] = decrypt ? K_r1[5] : K_r1[54];
720
assign K3[16] = decrypt ? K_r1[6] : K_r1[55];
721
assign K3[17] = decrypt ? K_r1[46] : K_r1[13];
722
assign K3[18] = decrypt ? K_r1[34] : K_r1[26];
723
assign K3[19] = decrypt ? K_r1[33] : K_r1[25];
724
assign K3[20] = decrypt ? K_r1[27] : K_r1[19];
725
assign K3[21] = decrypt ? K_r1[53] : K_r1[20];
726
assign K3[22] = decrypt ? K_r1[4] : K_r1[53];
727
assign K3[23] = decrypt ? K_r1[12] : K_r1[4];
728
assign K3[24] = decrypt ? K_r1[17] : K_r1[41];
729
assign K3[25] = decrypt ? K_r1[8] : K_r1[2];
730
assign K3[26] = decrypt ? K_r1[30] : K_r1[52];
731
assign K3[27] = decrypt ? K_r1[52] : K_r1[42];
732
assign K3[28] = decrypt ? K_r1[35] : K_r1[29];
733
assign K3[29] = decrypt ? K_r1[50] : K_r1[44];
734
assign K3[30] = decrypt ? K_r1[51] : K_r1[14];
735
assign K3[31] = decrypt ? K_r1[45] : K_r1[35];
736
assign K3[32] = decrypt ? K_r1[1] : K_r1[50];
737
assign K3[33] = decrypt ? K_r1[23] : K_r1[45];
738
assign K3[34] = decrypt ? K_r1[36] : K_r1[30];
739
assign K3[35] = decrypt ? K_r1[7] : K_r1[1];
740
assign K3[36] = decrypt ? K_r1[2] : K_r1[51];
741
assign K3[37] = decrypt ? K_r1[29] : K_r1[23];
742
assign K3[38] = decrypt ? K_r1[9] : K_r1[31];
743
assign K3[39] = decrypt ? K_r1[49] : K_r1[43];
744
assign K3[40] = decrypt ? K_r1[31] : K_r1[21];
745
assign K3[41] = decrypt ? K_r1[14] : K_r1[8];
746
assign K3[42] = decrypt ? K_r1[37] : K_r1[0];
747
assign K3[43] = decrypt ? K_r1[43] : K_r1[37];
748
assign K3[44] = decrypt ? K_r1[15] : K_r1[9];
749
assign K3[45] = decrypt ? K_r1[16] : K_r1[38];
750
assign K3[46] = decrypt ? K_r1[28] : K_r1[22];
751
assign K3[47] = decrypt ? K_r1[38] : K_r1[28];
752
assign K3[48] = decrypt ? K_r1[0] : K_r1[49];
753
 
754
assign K2[1] = decrypt ? K_r0[33] : K_r0[54];
755
assign K2[2] = decrypt ? K_r0[54] : K_r0[18];
756
assign K2[3] = decrypt ? K_r0[12] : K_r0[33];
757
assign K2[4] = decrypt ? K_r0[46] : K_r0[10];
758
assign K2[5] = decrypt ? K_r0[24] : K_r0[20];
759
assign K2[6] = decrypt ? K_r0[27] : K_r0[48];
760
assign K2[7] = decrypt ? K_r0[13] : K_r0[34];
761
assign K2[8] = decrypt ? K_r0[17] : K_r0[13];
762
assign K2[9] = decrypt ? K_r0[40] : K_r0[4];
763
assign K2[10] = decrypt ? K_r0[34] : K_r0[55];
764
assign K2[11] = decrypt ? K_r0[25] : K_r0[46];
765
assign K2[12] = decrypt ? K_r0[5] : K_r0[26];
766
assign K2[13] = decrypt ? K_r0[39] : K_r0[3];
767
assign K2[14] = decrypt ? K_r0[11] : K_r0[32];
768
assign K2[15] = decrypt ? K_r0[19] : K_r0[40];
769
assign K2[16] = decrypt ? K_r0[20] : K_r0[41];
770
assign K2[17] = decrypt ? K_r0[3] : K_r0[24];
771
assign K2[18] = decrypt ? K_r0[48] : K_r0[12];
772
assign K2[19] = decrypt ? K_r0[47] : K_r0[11];
773
assign K2[20] = decrypt ? K_r0[41] : K_r0[5];
774
assign K2[21] = decrypt ? K_r0[10] : K_r0[6];
775
assign K2[22] = decrypt ? K_r0[18] : K_r0[39];
776
assign K2[23] = decrypt ? K_r0[26] : K_r0[47];
777
assign K2[24] = decrypt ? K_r0[6] : K_r0[27];
778
assign K2[25] = decrypt ? K_r0[22] : K_r0[43];
779
assign K2[26] = decrypt ? K_r0[44] : K_r0[38];
780
assign K2[27] = decrypt ? K_r0[7] : K_r0[28];
781
assign K2[28] = decrypt ? K_r0[49] : K_r0[15];
782
assign K2[29] = decrypt ? K_r0[9] : K_r0[30];
783
assign K2[30] = decrypt ? K_r0[38] : K_r0[0];
784
assign K2[31] = decrypt ? K_r0[0] : K_r0[21];
785
assign K2[32] = decrypt ? K_r0[15] : K_r0[36];
786
assign K2[33] = decrypt ? K_r0[37] : K_r0[31];
787
assign K2[34] = decrypt ? K_r0[50] : K_r0[16];
788
assign K2[35] = decrypt ? K_r0[21] : K_r0[42];
789
assign K2[36] = decrypt ? K_r0[16] : K_r0[37];
790
assign K2[37] = decrypt ? K_r0[43] : K_r0[9];
791
assign K2[38] = decrypt ? K_r0[23] : K_r0[44];
792
assign K2[39] = decrypt ? K_r0[8] : K_r0[29];
793
assign K2[40] = decrypt ? K_r0[45] : K_r0[7];
794
assign K2[41] = decrypt ? K_r0[28] : K_r0[49];
795
assign K2[42] = decrypt ? K_r0[51] : K_r0[45];
796
assign K2[43] = decrypt ? K_r0[2] : K_r0[23];
797
assign K2[44] = decrypt ? K_r0[29] : K_r0[50];
798
assign K2[45] = decrypt ? K_r0[30] : K_r0[51];
799
assign K2[46] = decrypt ? K_r0[42] : K_r0[8];
800
assign K2[47] = decrypt ? K_r0[52] : K_r0[14];
801
assign K2[48] = decrypt ? K_r0[14] : K_r0[35];
802
 
803
assign K1[1] = decrypt ? K[40] : K[47];
804
assign K1[2] = decrypt ? K[4] : K[11];
805
assign K1[3] = decrypt ? K[19] : K[26];
806
assign K1[4] = decrypt ? K[53] : K[3];
807
assign K1[5] = decrypt ? K[6] : K[13];
808
assign K1[6] = decrypt ? K[34] : K[41];
809
assign K1[7] = decrypt ? K[20] : K[27];
810
assign K1[8] = decrypt ? K[24] : K[6];
811
assign K1[9] = decrypt ? K[47] : K[54];
812
assign K1[10] = decrypt ? K[41] : K[48];
813
assign K1[11] = decrypt ? K[32] : K[39];
814
assign K1[12] = decrypt ? K[12] : K[19];
815
assign K1[13] = decrypt ? K[46] : K[53];
816
assign K1[14] = decrypt ? K[18] : K[25];
817
assign K1[15] = decrypt ? K[26] : K[33];
818
assign K1[16] = decrypt ? K[27] : K[34];
819
assign K1[17] = decrypt ? K[10] : K[17];
820
assign K1[18] = decrypt ? K[55] : K[5];
821
assign K1[19] = decrypt ? K[54] : K[4];
822
assign K1[20] = decrypt ? K[48] : K[55];
823
assign K1[21] = decrypt ? K[17] : K[24];
824
assign K1[22] = decrypt ? K[25] : K[32];
825
assign K1[23] = decrypt ? K[33] : K[40];
826
assign K1[24] = decrypt ? K[13] : K[20];
827
assign K1[25] = decrypt ? K[29] : K[36];
828
assign K1[26] = decrypt ? K[51] : K[31];
829
assign K1[27] = decrypt ? K[14] : K[21];
830
assign K1[28] = decrypt ? K[1] : K[8];
831
assign K1[29] = decrypt ? K[16] : K[23];
832
assign K1[30] = decrypt ? K[45] : K[52];
833
assign K1[31] = decrypt ? K[7] : K[14];
834
assign K1[32] = decrypt ? K[22] : K[29];
835
assign K1[33] = decrypt ? K[44] : K[51];
836
assign K1[34] = decrypt ? K[2] : K[9];
837
assign K1[35] = decrypt ? K[28] : K[35];
838
assign K1[36] = decrypt ? K[23] : K[30];
839
assign K1[37] = decrypt ? K[50] : K[2];
840
assign K1[38] = decrypt ? K[30] : K[37];
841
assign K1[39] = decrypt ? K[15] : K[22];
842
assign K1[40] = decrypt ? K[52] : K[0];
843
assign K1[41] = decrypt ? K[35] : K[42];
844
assign K1[42] = decrypt ? K[31] : K[38];
845
assign K1[43] = decrypt ? K[9] : K[16];
846
assign K1[44] = decrypt ? K[36] : K[43];
847
assign K1[45] = decrypt ? K[37] : K[44];
848
assign K1[46] = decrypt ? K[49] : K[1];
849
assign K1[47] = decrypt ? K[0] : K[7];
850
assign K1[48] = decrypt ? K[21] : K[28];
851
 
852
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.