OpenCores
URL https://opencores.org/ocsvn/des/des/trunk

Subversion Repositories des

[/] [des/] [trunk/] [syn/] [bin/] [comp_ao3.dc] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 rudi
###############################################################################
2
#
3
# Actual Synthesis Script
4
#
5
# This script does the actual synthesis
6
#
7
# Author: Rudolf Usselmann
8
#         rudi@asics.ws
9
#
10
# Revision:
11
# 3/7/01 RU Initial Sript
12
#
13
#
14
###############################################################################
15
 
16
# ==============================================
17
# Setup Design Parameters
18
source ../bin/design_spec_ao3.dc
19
 
20
# ==============================================
21
# Setup Libraries
22
source ../bin/lib_spec.dc
23
 
24
# ==============================================
25
# Setup IO Files
26
 
27
append log_file                 ../log/$active_design "_cmp.log"
28
append pre_comp_db_file         ../out/$design_name "_pre.db"
29
append post_comp_db_file        ../out/$design_name ".db"
30
append post_syn_verilog_file    ../out/$design_name "_ps.v"
31
set junk_file /dev/null
32
 
33
sh rm -f $log_file
34
 
35
# ==============================================
36
# Setup Misc Variables
37
 
38
set hdlin_enable_vpp true       ;# Important - this enables 'ifdefs
39
 
40
# ==============================================
41
# Read Design
42
 
43
echo "+++++++++ Reading Design ..."                             >> $log_file
44
read_file $pre_comp_db_file                                     >> $log_file
45
 
46
# ==============================================
47
# Operating conditions
48
 
49
echo "+++++++++ Setting up Operation Conditions ..."            >> $log_file
50
current_design $design_name
51
set_operating_conditions WORST                                  >> $log_file
52
 
53
# ==============================================
54
# Setup Clocks and Resets
55
 
56
echo "+++++++++ Setting up Clocks ..."                           >> $log_file
57
 
58
set_drive 0 [find port {*clk*}]
59
 
60
set wb_clk_period 5.0
61
create_clock clk -period $wb_clk_period
62
set_clock_skew -uncertainty 0.1 clk
63
set_clock_transition 0.2 clk
64
set_dont_touch_network clk
65
 
66
# ==============================================
67
# Setup IOs
68
 
69
echo "+++++++++ Setting up IOs ..."                             >> $log_file
70
 
71
# Need to spell out external IOs
72
set_driving_cell -cell NAND2D2 -pin Z [all_inputs]              >> $junk_file
73
set_load 0.2 [all_outputs]
74
 
75
set_input_delay 2.0 -clock clk [all_inputs]
76
set_output_delay 2.0 -clock clk [all_outputs]
77
 
78
# ==============================================
79
# Specify Multi Cycle Paths
80
 
81
# ==============================================
82
# Setup Area Constrains
83
set_max_area 0.0
84
set compile_sequential_area_recovery true
85
 
86
# ==============================================
87
# Force Ultra
88
set_ultra_optimization -f
89
 
90
# ==============================================
91
# Compile Design
92
 
93
echo "+++++++++ Starting Compile ..."                           >> $log_file
94
compile                       >> $log_file
95
#compile -map_effort high -area_effort high -boundary_optimization -auto_ungroup        >> $log_file
96
 
97
# ==============================================
98
# Write Out the optimized design
99
 
100
echo "+++++++++ Saving Optimized Design ..."                    >> $log_file
101
write_file -hierarchy -format verilog -output $post_syn_verilog_file
102
write_file -hierarchy -format db      -output $post_comp_db_file
103
 
104
# ==============================================
105
# Create Some Basic Reports
106
 
107
echo "+++++++++ Reporting Final Results ..."                    >> $log_file
108
report_timing -nworst 10                                        >> $log_file
109
report_area                                                     >> $log_file
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.