OpenCores
URL https://opencores.org/ocsvn/diogenes/diogenes/trunk

Subversion Repositories diogenes

[/] [diogenes/] [tags/] [initial/] [vhdl/] [cpu/] [dist_mem.vhd] - Blame information for rev 236

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 154 fellnhofer
--------------------------------------------------------------------------------
2
--     This file is owned and controlled by Xilinx and must be used           --
3
--     solely for design, simulation, implementation and creation of          --
4
--     design files limited to Xilinx devices or technologies. Use            --
5
--     with non-Xilinx devices or technologies is expressly prohibited        --
6
--     and immediately terminates your license.                               --
7
--                                                                            --
8
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20
--     FOR A PARTICULAR PURPOSE.                                              --
21
--                                                                            --
22
--     Xilinx products are not intended for use in life support               --
23
--     appliances, devices, or systems. Use in such applications are          --
24
--     expressly prohibited.                                                  --
25
--                                                                            --
26
--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27
--     All rights reserved.                                                   --
28
--------------------------------------------------------------------------------
29
-- You must compile the wrapper file dist_mem.vhd when simulating
30
-- the core, dist_mem. When compiling the wrapper file, be sure to
31
-- reference the XilinxCoreLib VHDL simulation library. For detailed
32
-- instructions, please refer to the "CORE Generator Help".
33
 
34
-- The synthesis directives "translate_off/translate_on" specified
35
-- below are supported by Xilinx, Mentor Graphics and Synplicity
36
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
 
38
LIBRARY ieee;
39
USE ieee.std_logic_1164.ALL;
40
-- synthesis translate_off
41
Library XilinxCoreLib;
42
-- synthesis translate_on
43
ENTITY dist_mem IS
44
        port (
45
        a: IN std_logic_VECTOR(4 downto 0);
46
        d: IN std_logic_VECTOR(31 downto 0);
47
        dpra: IN std_logic_VECTOR(4 downto 0);
48
        clk: IN std_logic;
49
        we: IN std_logic;
50
        spo: OUT std_logic_VECTOR(31 downto 0);
51
        dpo: OUT std_logic_VECTOR(31 downto 0));
52
END dist_mem;
53
 
54
ARCHITECTURE dist_mem_a OF dist_mem IS
55
-- synthesis translate_off
56
component wrapped_dist_mem
57
        port (
58
        a: IN std_logic_VECTOR(4 downto 0);
59
        d: IN std_logic_VECTOR(31 downto 0);
60
        dpra: IN std_logic_VECTOR(4 downto 0);
61
        clk: IN std_logic;
62
        we: IN std_logic;
63
        spo: OUT std_logic_VECTOR(31 downto 0);
64
        dpo: OUT std_logic_VECTOR(31 downto 0));
65
end component;
66
 
67
-- Configuration specification 
68
        for all : wrapped_dist_mem use entity XilinxCoreLib.dist_mem_gen_v3_2(behavioral)
69
                generic map(
70
                        c_has_clk => 1,
71
                        c_has_qdpo_clk => 0,
72
                        c_has_qdpo_ce => 0,
73
                        c_has_d => 1,
74
                        c_has_spo => 1,
75
                        c_read_mif => 0,
76
                        c_has_qspo => 0,
77
                        c_width => 32,
78
                        c_reg_a_d_inputs => 0,
79
                        c_has_we => 1,
80
                        c_pipeline_stages => 0,
81
                        c_has_qdpo_rst => 0,
82
                        c_reg_dpra_input => 0,
83
                        c_qualify_we => 0,
84
                        c_sync_enable => 1,
85
                        c_depth => 32,
86
                        c_has_qspo_srst => 0,
87
                        c_has_qdpo_srst => 0,
88
                        c_has_dpra => 1,
89
                        c_qce_joined => 0,
90
                        c_mem_type => 2,
91
                        c_has_i_ce => 0,
92
                        c_has_dpo => 1,
93
                        c_mem_init_file => "no_coe_file_loaded",
94
                        c_default_data => "0",
95
                        c_has_spra => 0,
96
                        c_has_qspo_ce => 0,
97
                        c_addr_width => 5,
98
                        c_has_qdpo => 0,
99
                        c_has_qspo_rst => 0);
100
-- synthesis translate_on
101
BEGIN
102
-- synthesis translate_off
103
U0 : wrapped_dist_mem
104
                port map (
105
                        a => a,
106
                        d => d,
107
                        dpra => dpra,
108
                        clk => clk,
109
                        we => we,
110
                        spo => spo,
111
                        dpo => dpo);
112
-- synthesis translate_on
113
 
114
END dist_mem_a;
115
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.