OpenCores
URL https://opencores.org/ocsvn/diogenes/diogenes/trunk

Subversion Repositories diogenes

[/] [diogenes/] [trunk/] [vhdl/] [decode_isim_beh.wfs] - Blame information for rev 238

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 154 fellnhofer
version 3
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
 
10
 
11
 
12
 
13
 
14
 
15
CLOCK_LIST_BEGIN
16
CLOCK_LIST_END
17
SIGNAL_LIST_BEGIN
18
SIGNAL_LIST_END
19
SIGNALS_NOT_ON_DISPLAY
20
SIGNALS_NOT_ON_DISPLAY_END
21
MARKER_LIST_BEGIN
22
MARKER_LIST_END
23
MEASURE_LIST_BEGIN
24
MEASURE_LIST_END
25
SIGNAL_ORDER_BEGIN
26
/decode/clk
27
/decode/reset
28
/decode/pc
29
/decode/brzero
30
/decode/newpc
31
/decode/instr
32
/decode/op1
33
/decode/fwop1
34
/decode/op2
35
/decode/fwop2
36
/decode/fwshiftop
37
/decode/destreg
38
/decode/regaddr
39
/decode/result
40
/decode/big_op
41
/decode/regval
42
/decode/lastdest
43
/decode/reg1
44
/decode/reg2
45
/decode/reg1full
46
/decode/reg2full
47
/decode/regaddrfull
48
/decode/rout1
49
/decode/rout2
50
/decode/sop1
51
/decode/sop2
52
SIGNAL_ORDER_END
53
DIFFERENTIAL_CLKS_BEGIN
54
DIFFERENTIAL_CLKS_END
55
DIVIDERS_BEGIN
56
DIVIDERS_END
57
SIGPROPS_BEGIN
58
/decode/clk
59
2
60
 
61
/decode/reset
62
2
63
 
64
/decode/pc
65
2
66
 
67
/decode/brzero
68
2
69
 
70
/decode/newpc
71
2
72
 
73
/decode/instr
74
2
75
 
76
/decode/op1
77
2
78
 
79
/decode/fwop1
80
2
81
 
82
/decode/op2
83
2
84
 
85
/decode/fwop2
86
2
87
 
88
/decode/fwshiftop
89
2
90
 
91
/decode/destreg
92
2
93
 
94
/decode/regaddr
95
2
96
 
97
/decode/result
98
2
99
 
100
/decode/big_op
101
2
102
 
103
/decode/regval
104
2
105
 
106
/decode/lastdest
107
2
108
 
109
/decode/reg1
110
2
111
 
112
/decode/reg2
113
2
114
 
115
/decode/reg1full
116
2
117
 
118
/decode/reg2full
119
2
120
 
121
/decode/regaddrfull
122
2
123
 
124
/decode/rout1
125
2
126
 
127
/decode/rout2
128
2
129
 
130
/decode/sop1
131
2
132
 
133
/decode/sop2
134
2
135
 
136
SIGPROPS_END

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.