OpenCores
URL https://opencores.org/ocsvn/diogenes/diogenes/trunk

Subversion Repositories diogenes

[/] [diogenes/] [trunk/] [vhdl/] [sio_testbench.vhd] - Blame information for rev 236

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 154 fellnhofer
-- VHDL Test Bench for jc2_top design functional and timing simulation
2
 
3
LIBRARY  IEEE;
4
USE IEEE.std_logic_1164.all;
5
 
6
--use work.types.all;
7
 
8
ENTITY sio_testbench IS
9
END sio_testbench;
10
 
11
ARCHITECTURE sio_testbench_arch OF sio_testbench IS
12
        COMPONENT mysio
13
                Port ( gclk : in  STD_LOGIC;
14
           reset : in  STD_LOGIC;
15
 
16
                          simulation : in std_logic;
17
 
18
           rx : in  STD_LOGIC;
19
           tx : out  STD_LOGIC;
20
 
21
                          test : out std_logic_vector(7 downto 0)
22
                          );
23
        END COMPONENT;
24
 
25
 
26
        SIGNAL simulation : STD_LOGIC := '1';
27
        SIGNAL gclk : STD_LOGIC := '0';
28
        SIGNAL reset : STD_LOGIC := '0';
29
        signal rx : STD_LOGIC := '0';
30
 
31
BEGIN
32
        UUT : mysio
33
        PORT MAP (
34
                gclk => gclk,
35
                reset => reset,
36
                rx => rx,
37
                simulation => simulation
38
        );
39
 
40
        simulation <= '1' after 0 ns;
41
        reset <= '1' after 310 ns;
42
        gclk   <= not gclk after 10 ns;
43
 
44
END sio_testbench_arch;
45
 
46
CONFIGURATION sio_testbench_cfg OF sio_testbench IS
47
        FOR sio_testbench_arch
48
        END FOR;
49
END sio_testbench_cfg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.