OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [tags/] [dirac_0_0_1_0/] [src/] [common/] [COUNT_UNIT.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 petebleack
-- ***** BEGIN LICENSE BLOCK *****
2
-- 
3
-- $Id: COUNT_UNIT.vhd,v 1.1.1.1 2005-03-30 10:09:49 petebleackley Exp $ $Name: not supported by cvs2svn $
4
-- *
5
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
6
-- *
7
-- * The contents of this file are subject to the Mozilla Public License
8
-- * Version 1.1 (the "License"); you may not use this file except in compliance
9
-- * with the License. You may obtain a copy of the License at
10
-- * http://www.mozilla.org/MPL/
11
-- *
12
-- * Software distributed under the License is distributed on an "AS IS" basis,
13
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
14
-- * the specific language governing rights and limitations under the License.
15
-- *
16
-- * The Original Code is BBC Research and Development code.
17
-- *
18
-- * The Initial Developer of the Original Code is the British Broadcasting
19
-- * Corporation.
20
-- * Portions created by the Initial Developer are Copyright (C) 2004.
21
-- * All Rights Reserved.
22
-- *
23
-- * Contributor(s): Peter Bleackley (Original author)
24
-- *
25
-- * Alternatively, the contents of this file may be used under the terms of
26
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
27
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
28
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
29
-- * allow use of your version of this file only under the terms of the either
30
-- * the GPL or LGPL and not to allow others to use your version of this file
31
-- * under the MPL, indicate your decision by deleting the provisions above
32
-- * and replace them with the notice and other provisions required by the GPL
33
-- * or LGPL. If you do not delete the provisions above, a recipient may use
34
-- * your version of this file under the terms of any one of the MPL, the GPL
35
-- * or the LGPL.
36
-- * ***** END LICENSE BLOCK ***** */
37
 
38
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
use IEEE.STD_LOGIC_ARITH.ALL;
42
use IEEE.STD_LOGIC_UNSIGNED.ALL;
43
 
44
--  Uncomment the following lines to use the declarations that are
45
--  provided for instantiating Xilinx primitive components.
46
--library UNISIM;
47
--use UNISIM.VComponents.all;
48
 
49
entity COUNT_UNIT is
50
    Port ( INCREMENT : in std_logic;
51
           DECREMENT : in std_logic;
52
                          RESET :       in std_logic;
53
           CLOCK : in std_logic;
54
           OUTPUT : out std_logic;
55
           INCREMENT_CARRY : out std_logic;
56
           DECREMENT_CARRY : out std_logic);
57
end COUNT_UNIT;
58
 
59
architecture RTL of COUNT_UNIT is
60
         component D_TYPE
61
         port(D,CLOCK:  in std_logic;
62
         Q:     out std_logic);
63
         end component D_TYPE;
64
         signal UPDATE: std_logic :='0';
65
         signal TOGGLE: std_logic;
66
         signal Q_VAL:  std_logic;
67
         signal INVERSE:        std_logic;
68
         signal NEWVAL : std_logic;
69
begin
70
 
71
-- combinatorial logic
72
 
73
        TOGGLE <= INCREMENT xor DECREMENT;
74
        INVERSE <= not Q_VAL;
75
        OUTPUT <= Q_VAL;
76
        INCREMENT_CARRY <= INCREMENT and not DECREMENT and Q_VAL;
77
        DECREMENT_CARRY <= DECREMENT and not INCREMENT and INVERSE;
78
        NEWVAL <= Q_VAL xor TOGGLE;
79
        UPDATE <= NEWVAL and not RESET;
80
 
81
 
82
 
83
 
84
 
85
-- The D_TYPE
86
 
87
FLIP_FLOP: D_TYPE
88
        port map(D => UPDATE,
89
        CLOCK => CLOCK,
90
        Q => Q_VAL);
91
 
92
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.