OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [tags/] [dirac_0_0_1_0/] [src/] [common/] [INPUT_CONTROL.vhd] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 petebleack
-- ***** BEGIN LICENSE BLOCK *****
2
-- 
3
-- $Id: INPUT_CONTROL.vhd,v 1.1.1.1 2005-03-30 10:09:49 petebleackley Exp $ $Name: not supported by cvs2svn $
4
-- *
5
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
6
-- *
7
-- * The contents of this file are subject to the Mozilla Public License
8
-- * Version 1.1 (the "License"); you may not use this file except in compliance
9
-- * with the License. You may obtain a copy of the License at
10
-- * http://www.mozilla.org/MPL/
11
-- *
12
-- * Software distributed under the License is distributed on an "AS IS" basis,
13
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
14
-- * the specific language governing rights and limitations under the License.
15
-- *
16
-- * The Original Code is BBC Research and Development code.
17
-- *
18
-- * The Initial Developer of the Original Code is the British Broadcasting
19
-- * Corporation.
20
-- * Portions created by the Initial Developer are Copyright (C) 2004.
21
-- * All Rights Reserved.
22
-- *
23
-- * Contributor(s): Peter Bleackley (Original author)
24
-- *
25
-- * Alternatively, the contents of this file may be used under the terms of
26
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
27
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
28
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
29
-- * allow use of your version of this file only under the terms of the either
30
-- * the GPL or LGPL and not to allow others to use your version of this file
31
-- * under the MPL, indicate your decision by deleting the provisions above
32
-- * and replace them with the notice and other provisions required by the GPL
33
-- * or LGPL. If you do not delete the provisions above, a recipient may use
34
-- * your version of this file under the terms of any one of the MPL, the GPL
35
-- * or the LGPL.
36
-- * ***** END LICENSE BLOCK ***** */
37
 
38
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
use IEEE.STD_LOGIC_ARITH.ALL;
42
use IEEE.STD_LOGIC_UNSIGNED.ALL;
43
 
44
--  Uncomment the following lines to use the declarations that are
45
--  provided for instantiating Xilinx primitive components.
46
--library UNISIM;
47
--use UNISIM.VComponents.all;
48
 
49
entity INPUT_CONTROL is
50
    Port ( ENABLE : in std_logic;
51
           DATA_IN : in std_logic;
52
           BUFFER_CONTROL : in std_logic;
53
           DEMAND : in std_logic;
54
           RESET : in std_logic;
55
           CLOCK : in std_logic;
56
           SENDING : out std_logic;
57
           DATA_OUT : out std_logic);
58
end INPUT_CONTROL;
59
 
60
architecture RTL of INPUT_CONTROL is
61
                component FIFO
62
                port(            WRITE_ENABLE : in std_logic;
63
           DATA_IN : in std_logic;
64
           READ_ENABLE : in std_logic;
65
           RESET : in std_logic;
66
           CLOCK : in std_logic;
67
           DATA_OUT : out std_logic;
68
                          EMPTY : out std_logic);
69
                end component FIFO;
70
                signal FIFO_WRITE_ENABLE :      std_logic;
71
                signal FIFO_READ_ENABLE :       std_logic;
72
                signal FIFO_DATA_IN : std_logic;
73
                signal FIFO_DATA_OUT : std_logic;
74
                signal FIFO_EMPTY : std_logic;
75
                signal USE_BUFFER : std_logic;
76
                signal PUT_IN_BUFFER :  std_logic;
77
begin
78
 
79
STORAGE :       FIFO
80
                        port map(WRITE_ENABLE => FIFO_WRITE_ENABLE,
81
                        DATA_IN => FIFO_DATA_IN,
82
                        READ_ENABLE => FIFO_READ_ENABLE,
83
                        RESET => RESET,
84
                        CLOCK => CLOCK,
85
                        DATA_OUT => FIFO_DATA_OUT,
86
                        EMPTY => FIFO_EMPTY);
87
 
88
        FIFO_WRITE_ENABLE <= ENABLE and USE_BUFFER;
89
        FIFO_DATA_IN <= DATA_IN and USE_BUFFER;
90
        FIFO_READ_ENABLE <= DEMAND      and USE_BUFFER;
91
 
92
        PUT_IN_BUFFER <= ENABLE and BUFFER_CONTROL;
93
        USE_BUFFER <= PUT_IN_BUFFER or not FIFO_EMPTY;
94
 
95
OUTPUT_SELECT:  process(USE_BUFFER,DEMAND,FIFO_DATA_OUT,ENABLE,DATA_IN)
96
begin
97
        if USE_BUFFER = '1' then
98
        SENDING <= DEMAND;
99
        DATA_OUT <= FIFO_DATA_OUT;
100
        else
101
        SENDING <= ENABLE;
102
        DATA_OUT <= DATA_IN;
103
        end if;
104
end process OUTPUT_SELECT;
105
 
106
 
107
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.