OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [docs/] [synthesis_reports/] [encoder/] [follow_counter.syr] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 petebleack
Release 7.1.04i - xst H.42
2
Copyright (c) 1995-2005 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to __projnav
4
CPU : 0.00 / 0.33 s | Elapsed : 0.00 / 0.00 s
5
 
6
--> Parameter xsthdpdir set to ./xst
7
CPU : 0.00 / 0.33 s | Elapsed : 0.00 / 0.00 s
8
 
9
--> Reading design: follow_counter.prj
10
 
11
TABLE OF CONTENTS
12
  1) Synthesis Options Summary
13
  2) HDL Compilation
14
  3) HDL Analysis
15
  4) HDL Synthesis
16
  5) Advanced HDL Synthesis
17
     5.1) HDL Synthesis Report
18
  6) Low Level Synthesis
19
  7) Final Report
20
     7.1) Device utilization summary
21
     7.2) TIMING REPORT
22
 
23
 
24
=========================================================================
25
*                      Synthesis Options Summary                        *
26
=========================================================================
27
---- Source Parameters
28
Input File Name                    : "follow_counter.prj"
29
Input Format                       : mixed
30
Ignore Synthesis Constraint File   : NO
31
 
32
---- Target Parameters
33
Output File Name                   : "follow_counter"
34
Output Format                      : NGC
35
Target Device                      : xc2v2000-6-bg575
36
 
37
---- Source Options
38
Top Module Name                    : follow_counter
39
Automatic FSM Extraction           : YES
40
FSM Encoding Algorithm             : Auto
41
FSM Style                          : lut
42
RAM Extraction                     : Yes
43
RAM Style                          : Auto
44
ROM Extraction                     : Yes
45
ROM Style                          : Auto
46
Mux Extraction                     : YES
47
Mux Style                          : Auto
48
Decoder Extraction                 : YES
49
Priority Encoder Extraction        : YES
50
Shift Register Extraction          : YES
51
Logical Shifter Extraction         : YES
52
XOR Collapsing                     : YES
53
Resource Sharing                   : YES
54
Multiplier Style                   : auto
55
Automatic Register Balancing       : No
56
 
57
---- Target Options
58
Add IO Buffers                     : YES
59
Global Maximum Fanout              : 500
60
Add Generic Clock Buffer(BUFG)     : 16
61
Register Duplication               : YES
62
Equivalent register Removal        : YES
63
Slice Packing                      : YES
64
Pack IO Registers into IOBs        : auto
65
 
66
---- General Options
67
Optimization Goal                  : Speed
68
Optimization Effort                : 2
69
Keep Hierarchy                     : NO
70
Global Optimization                : AllClockNets
71
RTL Output                         : Yes
72
Write Timing Constraints           : NO
73
Hierarchy Separator                : _
74
Bus Delimiter                      : <>
75
Case Specifier                     : maintain
76
Slice Utilization Ratio            : 100
77
Slice Utilization Ratio Delta      : 5
78
 
79
---- Other Options
80
lso                                : follow_counter.lso
81
Read Cores                         : YES
82
cross_clock_analysis               : NO
83
verilog2001                        : YES
84
safe_implementation                : No
85
Optimize Instantiated Primitives   : NO
86
tristate2logic                     : Yes
87
use_clock_enable                   : Yes
88
use_sync_set                       : Yes
89
use_sync_reset                     : Yes
90
enable_auto_floorplanning          : No
91
 
92
=========================================================================
93
 
94
 
95
=========================================================================
96
*                          HDL Compilation                              *
97
=========================================================================
98
Compiling vhdl file "C:/Xilinx/bin/ArithmeticCoder/FOLLOW_COUNTER.vhd" in Library work.
99
Architecture rtl of Entity follow_counter is up to date.
100
 
101
=========================================================================
102
*                            HDL Analysis                               *
103
=========================================================================
104
Analyzing Entity  (Architecture ).
105
Entity  analyzed. Unit  generated.
106
 
107
 
108
=========================================================================
109
*                           HDL Synthesis                               *
110
=========================================================================
111
 
112
Synthesizing Unit .
113
    Related source file is "C:/Xilinx/bin/ArithmeticCoder/FOLLOW_COUNTER.vhd".
114
    Found 8-bit comparator lessequal for signal <$n0003> created at line 30.
115
    Found 8-bit comparator greater for signal <$n0004> created at line 39.
116
    Found 8-bit updown counter for signal .
117
    Summary:
118
        inferred   1 Counter(s).
119
        inferred   2 Comparator(s).
120
Unit  synthesized.
121
 
122
 
123
=========================================================================
124
*                       Advanced HDL Synthesis                          *
125
=========================================================================
126
 
127
Advanced RAM inference ...
128
Advanced multiplier inference ...
129
Advanced Registered AddSub inference ...
130
Dynamic shift register inference ...
131
 
132
=========================================================================
133
HDL Synthesis Report
134
 
135
Macro Statistics
136
# Counters                         : 1
137
 8-bit updown counter              : 1
138
# Comparators                      : 2
139
 8-bit comparator greater          : 1
140
 8-bit comparator lessequal        : 1
141
 
142
=========================================================================
143
 
144
=========================================================================
145
*                         Low Level Synthesis                           *
146
=========================================================================
147
WARNING:Xst:1988 - Unit : instances ,  of unit  and unit  are dual, second instance is removed
148
 
149
Optimizing unit  ...
150
Loading device for application Rf_Device from file '2v2000.nph' in environment C:/Xilinx.
151
 
152
Mapping all equations...
153
Building and optimizing final netlist ...
154
Found area constraint ratio of 100 (+ 5) on block follow_counter, actual ratio is 0.
155
 
156
=========================================================================
157
*                            Final Report                               *
158
=========================================================================
159
Final Results
160
RTL Top Level Output File Name     : follow_counter.ngr
161
Top Level Output File Name         : follow_counter
162
Output Format                      : NGC
163
Optimization Goal                  : Speed
164
Keep Hierarchy                     : NO
165
 
166
Design Statistics
167
# IOs                              : 5
168
 
169
Macro Statistics :
170
# Registers                        : 1
171
#      8-bit register              : 1
172
# Adders/Subtractors               : 1
173
#      8-bit addsub                : 1
174
# Comparators                      : 2
175
#      8-bit comparator greater    : 1
176
#      8-bit comparator lessequal  : 1
177
 
178
Cell Usage :
179
# BELS                             : 29
180
#      LUT2                        : 3
181
#      LUT3                        : 1
182
#      LUT3_L                      : 8
183
#      LUT4                        : 1
184
#      LUT4_D                      : 1
185
#      MUXCY                       : 7
186
#      XORCY                       : 8
187
# FlipFlops/Latches                : 8
188
#      FDRE                        : 8
189
# Clock Buffers                    : 1
190
#      BUFGP                       : 1
191
# IO Buffers                       : 4
192
#      IBUF                        : 3
193
#      OBUF                        : 1
194
=========================================================================
195
 
196
Device utilization summary:
197
---------------------------
198
 
199
Selected Device : 2v2000bg575-6
200
 
201
 Number of Slices:                       7  out of  10752     0%
202
 Number of Slice Flip Flops:             8  out of  21504     0%
203
 Number of 4 input LUTs:                14  out of  21504     0%
204
 Number of bonded IOBs:                  5  out of    408     1%
205
 Number of GCLKs:                        1  out of     16     6%
206
 
207
 
208
=========================================================================
209
TIMING REPORT
210
 
211
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
212
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
213
      GENERATED AFTER PLACE-and-ROUTE.
214
 
215
Clock Information:
216
------------------
217
-----------------------------------+------------------------+-------+
218
Clock Signal                       | Clock buffer(FF name)  | Load  |
219
-----------------------------------+------------------------+-------+
220
CLOCK                              | BUFGP                  | 8     |
221
-----------------------------------+------------------------+-------+
222
 
223
Timing Summary:
224
---------------
225
Speed Grade: -6
226
 
227
   Minimum period: 3.586ns (Maximum Frequency: 278.901MHz)
228
   Minimum input arrival time before clock: 3.673ns
229
   Maximum output required time after clock: 7.321ns
230
   Maximum combinational path delay: 6.022ns
231
 
232
Timing Detail:
233
--------------
234
All values displayed in nanoseconds (ns)
235
 
236
=========================================================================
237
Timing constraint: Default period analysis for Clock 'CLOCK'
238
  Clock period: 3.586ns (frequency: 278.901MHz)
239
  Total number of paths / destination ports: 128 / 16
240
-------------------------------------------------------------------------
241
Delay:               3.586ns (Levels of Logic = 3)
242
  Source:            NUMBER_2 (FF)
243
  Destination:       NUMBER_6 (FF)
244
  Source Clock:      CLOCK rising
245
  Destination Clock: CLOCK rising
246
 
247
  Data Path: NUMBER_2 to NUMBER_6
248
                                Gate     Net
249
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
250
    ----------------------------------------  ------------
251
     FDRE:C->Q             2   0.449   0.743  NUMBER_2 (NUMBER_2)
252
     LUT4:I0->O            1   0.347   0.414  _n000419 (CHOICE19)
253
     LUT4_D:I3->LO         1   0.347   0.127  _n0004112 (N15)
254
     LUT3:I2->O            8   0.347   0.621  _n00091 (_n0009)
255
     FDRE:CE                   0.190          NUMBER_0
256
    ----------------------------------------
257
    Total                      3.586ns (1.680ns logic, 1.905ns route)
258
                                       (46.9% logic, 53.1% route)
259
 
260
=========================================================================
261
Timing constraint: Default OFFSET IN BEFORE for Clock 'CLOCK'
262
  Total number of paths / destination ports: 112 / 24
263
-------------------------------------------------------------------------
264
Offset:              3.673ns (Levels of Logic = 10)
265
  Source:            INCREMENT (PAD)
266
  Destination:       NUMBER_7 (FF)
267
  Destination Clock: CLOCK rising
268
 
269
  Data Path: INCREMENT to NUMBER_7
270
                                Gate     Net
271
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
272
    ----------------------------------------  ------------
273
     IBUF:I->O            10   0.653   0.879  INCREMENT_IBUF (INCREMENT_IBUF)
274
     LUT2:I0->O            1   0.347   0.383  NUMBER__n00021 (NUMBER__n0002)
275
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<0>cy (follow_counter_NUMBER__n0000<0>_cyo)
276
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<1>cy (follow_counter_NUMBER__n0000<1>_cyo)
277
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<2>cy (follow_counter_NUMBER__n0000<2>_cyo)
278
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<3>cy (follow_counter_NUMBER__n0000<3>_cyo)
279
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<4>cy (follow_counter_NUMBER__n0000<4>_cyo)
280
     MUXCY:CI->O           1   0.042   0.000  follow_counter_NUMBER__n0000<5>cy (follow_counter_NUMBER__n0000<5>_cyo)
281
     MUXCY:CI->O           0   0.042   0.000  follow_counter_NUMBER__n0000<6>cy (follow_counter_NUMBER__n0000<6>_cyo)
282
     XORCY:CI->O           1   0.824   0.000  follow_counter_NUMBER__n0000<7>_xor (NUMBER__n0000<7>)
283
     FDRE:D                    0.293          NUMBER_7
284
    ----------------------------------------
285
    Total                      3.673ns (2.411ns logic, 1.262ns route)
286
                                       (65.6% logic, 34.4% route)
287
 
288
=========================================================================
289
Timing constraint: Default OFFSET OUT AFTER for Clock 'CLOCK'
290
  Total number of paths / destination ports: 8 / 1
291
-------------------------------------------------------------------------
292
Offset:              7.321ns (Levels of Logic = 4)
293
  Source:            NUMBER_2 (FF)
294
  Destination:       OUTPUT (PAD)
295
  Source Clock:      CLOCK rising
296
 
297
  Data Path: NUMBER_2 to OUTPUT
298
                                Gate     Net
299
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
300
    ----------------------------------------  ------------
301
     FDRE:C->Q             2   0.449   0.743  NUMBER_2 (NUMBER_2)
302
     LUT4:I0->O            1   0.347   0.415  _n000419 (CHOICE19)
303
     LUT4_D:I3->O          1   0.347   0.548  _n0004112 (_n0004)
304
     LUT2:I1->O            1   0.347   0.383  _n00021 (OUTPUT_OBUF)
305
     OBUF:I->O                 3.743          OUTPUT_OBUF (OUTPUT)
306
    ----------------------------------------
307
    Total                      7.321ns (5.233ns logic, 2.088ns route)
308
                                       (71.5% logic, 28.5% route)
309
 
310
=========================================================================
311
Timing constraint: Default path analysis
312
  Total number of paths / destination ports: 1 / 1
313
-------------------------------------------------------------------------
314
Delay:               6.022ns (Levels of Logic = 3)
315
  Source:            TEST (PAD)
316
  Destination:       OUTPUT (PAD)
317
 
318
  Data Path: TEST to OUTPUT
319
                                Gate     Net
320
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
321
    ----------------------------------------  ------------
322
     IBUF:I->O            11   0.653   0.897  TEST_IBUF (TEST_IBUF)
323
     LUT2:I0->O            1   0.347   0.383  _n00021 (OUTPUT_OBUF)
324
     OBUF:I->O                 3.743          OUTPUT_OBUF (OUTPUT)
325
    ----------------------------------------
326
    Total                      6.022ns (4.743ns logic, 1.279ns route)
327
                                       (78.8% logic, 21.2% route)
328
 
329
=========================================================================
330
CPU : 4.63 / 4.98 s | Elapsed : 5.00 / 5.00 s
331
 
332
-->
333
 
334
Total memory usage is 121148 kilobytes
335
 
336
Number of errors   :    0 (   0 filtered)
337
Number of warnings :    1 (   0 filtered)
338
Number of infos    :    0 (   0 filtered)
339
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.