OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [common/] [INPUT_CONTROL.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 petebleack
-- ***** BEGIN LICENSE BLOCK *****
2
-- 
3 5 petebleack
-- $Id: INPUT_CONTROL.vhd,v 1.2 2005-05-27 16:00:28 petebleackley Exp $ $Name: not supported by cvs2svn $
4 2 petebleack
-- *
5
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
6
-- *
7
-- * The contents of this file are subject to the Mozilla Public License
8
-- * Version 1.1 (the "License"); you may not use this file except in compliance
9
-- * with the License. You may obtain a copy of the License at
10
-- * http://www.mozilla.org/MPL/
11
-- *
12
-- * Software distributed under the License is distributed on an "AS IS" basis,
13
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
14
-- * the specific language governing rights and limitations under the License.
15
-- *
16
-- * The Original Code is BBC Research and Development code.
17
-- *
18
-- * The Initial Developer of the Original Code is the British Broadcasting
19
-- * Corporation.
20
-- * Portions created by the Initial Developer are Copyright (C) 2004.
21
-- * All Rights Reserved.
22
-- *
23
-- * Contributor(s): Peter Bleackley (Original author)
24
-- *
25
-- * Alternatively, the contents of this file may be used under the terms of
26
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
27
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
28
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
29
-- * allow use of your version of this file only under the terms of the either
30
-- * the GPL or LGPL and not to allow others to use your version of this file
31
-- * under the MPL, indicate your decision by deleting the provisions above
32
-- * and replace them with the notice and other provisions required by the GPL
33
-- * or LGPL. If you do not delete the provisions above, a recipient may use
34
-- * your version of this file under the terms of any one of the MPL, the GPL
35
-- * or the LGPL.
36
-- * ***** END LICENSE BLOCK ***** */
37
 
38
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
use IEEE.STD_LOGIC_ARITH.ALL;
42
use IEEE.STD_LOGIC_UNSIGNED.ALL;
43
 
44
--  Uncomment the following lines to use the declarations that are
45
--  provided for instantiating Xilinx primitive components.
46
--library UNISIM;
47
--use UNISIM.VComponents.all;
48
 
49 5 petebleack
 entity INPUT_CONTROL is
50
        generic(WIDTH : integer range 1 to 16 := 1);
51 2 petebleack
    Port ( ENABLE : in std_logic;
52 5 petebleack
           DATA_IN : in std_logic_vector(WIDTH  - 1 downto 0);
53 2 petebleack
           BUFFER_CONTROL : in std_logic;
54
           DEMAND : in std_logic;
55
           RESET : in std_logic;
56
           CLOCK : in std_logic;
57
           SENDING : out std_logic;
58 5 petebleack
           DATA_OUT : out std_logic_vector(WIDTH - 1 downto 0));
59 2 petebleack
end INPUT_CONTROL;
60
 
61
architecture RTL of INPUT_CONTROL is
62
                component FIFO
63 5 petebleack
                generic( RANK : integer range 0 to 16;
64
                WIDTH : integer range 1 to 16);
65 2 petebleack
                port(            WRITE_ENABLE : in std_logic;
66 5 petebleack
           DATA_IN : in std_logic_vector (WIDTH - 1 downto 0);
67 2 petebleack
           READ_ENABLE : in std_logic;
68
           RESET : in std_logic;
69
           CLOCK : in std_logic;
70 5 petebleack
           DATA_OUT : out std_logic_vector (WIDTH - 1 downto 0);
71 2 petebleack
                          EMPTY : out std_logic);
72
                end component FIFO;
73
                signal FIFO_WRITE_ENABLE :      std_logic;
74
                signal FIFO_READ_ENABLE :       std_logic;
75 5 petebleack
                signal FIFO_DATA_IN : std_logic_vector (WIDTH - 1 downto 0);
76
                signal FIFO_DATA_OUT : std_logic_vector (WIDTH - 1 downto 0);
77
                signal HELD : std_logic_vector (WIDTH - 1 downto 0);
78
                signal OUTPUT : std_logic_vector (WIDTH - 1 downto 0);
79
                signal TRANSMIT : std_logic;
80 2 petebleack
                signal FIFO_EMPTY : std_logic;
81
                signal USE_BUFFER : std_logic;
82
                signal PUT_IN_BUFFER :  std_logic;
83 5 petebleack
 
84 2 petebleack
begin
85
STORAGE :       FIFO
86 5 petebleack
                        generic map (RANK => 8,
87
                        WIDTH => WIDTH)
88 2 petebleack
                        port map(WRITE_ENABLE => FIFO_WRITE_ENABLE,
89
                        DATA_IN => FIFO_DATA_IN,
90
                        READ_ENABLE => FIFO_READ_ENABLE,
91
                        RESET => RESET,
92
                        CLOCK => CLOCK,
93
                        DATA_OUT => FIFO_DATA_OUT,
94
                        EMPTY => FIFO_EMPTY);
95
 
96
        FIFO_WRITE_ENABLE <= ENABLE and USE_BUFFER;
97 5 petebleack
 
98
USEFIFO:        for I in 0 to WIDTH - 1 generate
99
                FIFO_DATA_IN(I) <= DATA_IN(I) and USE_BUFFER;
100
        end generate;
101 2 petebleack
        FIFO_READ_ENABLE <= DEMAND      and USE_BUFFER;
102
 
103
        PUT_IN_BUFFER <= ENABLE and BUFFER_CONTROL;
104
        USE_BUFFER <= PUT_IN_BUFFER or not FIFO_EMPTY;
105
 
106 5 petebleack
OUTPUT_SELECT:  process(USE_BUFFER,DEMAND,FIFO_DATA_OUT,HELD,ENABLE,DATA_IN)
107 2 petebleack
begin
108 5 petebleack
        if (USE_BUFFER = '1') then
109
                TRANSMIT <= DEMAND;
110
                if DEMAND = '1' then
111
                        OUTPUT <= FIFO_DATA_OUT;
112
                else
113
                        OUTPUT <= HELD;
114
                end if;
115 2 petebleack
        else
116 5 petebleack
                TRANSMIT <= ENABLE;
117
                if ENABLE = '1' then
118
                        OUTPUT <= DATA_IN;
119
                else
120
                        OUTPUT <= HELD;
121
                end if;
122 2 petebleack
        end if;
123
end process OUTPUT_SELECT;
124
 
125 5 petebleack
        SENDING <= TRANSMIT;
126
        DATA_OUT <= OUTPUT;
127 2 petebleack
 
128 5 petebleack
HOLD_DATA : process (CLOCK)
129
        begin
130
        if CLOCK'event and CLOCK = '1' then
131
                if  RESET = '1' then
132
                        HELD <= (others => '0');
133
                elsif TRANSMIT = '1' then
134
                        HELD <= OUTPUT;
135
                end if;
136
        end if;
137
end process HOLD_DATA;
138
 
139 2 petebleack
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.