OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [common/] [STORE_BLOCK.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 petebleack
-- ***** BEGIN LICENSE BLOCK *****
2
-- 
3
-- $Id: STORE_BLOCK.vhd,v 1.1.1.1 2005-03-30 10:09:49 petebleackley Exp $ $Name: not supported by cvs2svn $
4
-- *
5
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
6
-- *
7
-- * The contents of this file are subject to the Mozilla Public License
8
-- * Version 1.1 (the "License"); you may not use this file except in compliance
9
-- * with the License. You may obtain a copy of the License at
10
-- * http://www.mozilla.org/MPL/
11
-- *
12
-- * Software distributed under the License is distributed on an "AS IS" basis,
13
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
14
-- * the specific language governing rights and limitations under the License.
15
-- *
16
-- * The Original Code is BBC Research and Development code.
17
-- *
18
-- * The Initial Developer of the Original Code is the British Broadcasting
19
-- * Corporation.
20
-- * Portions created by the Initial Developer are Copyright (C) 2004.
21
-- * All Rights Reserved.
22
-- *
23
-- * Contributor(s): Peter Bleackley (Original author)
24
-- *
25
-- * Alternatively, the contents of this file may be used under the terms of
26
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
27
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
28
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
29
-- * allow use of your version of this file only under the terms of the either
30
-- * the GPL or LGPL and not to allow others to use your version of this file
31
-- * under the MPL, indicate your decision by deleting the provisions above
32
-- * and replace them with the notice and other provisions required by the GPL
33
-- * or LGPL. If you do not delete the provisions above, a recipient may use
34
-- * your version of this file under the terms of any one of the MPL, the GPL
35
-- * or the LGPL.
36
-- * ***** END LICENSE BLOCK ***** */
37
 
38
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
use IEEE.STD_LOGIC_ARITH.ALL;
42
use IEEE.STD_LOGIC_UNSIGNED.ALL;
43
 
44
--  Uncomment the following lines to use the declarations that are
45
--  provided for instantiating Xilinx primitive components.
46
--library UNISIM;
47
--use UNISIM.VComponents.all;
48
 
49
entity STORE_BLOCK is
50
    Port ( LOAD_IN : in std_logic;
51
           SHIFT_IN : in std_logic;
52
           SHIFT : in std_logic;
53
           ENABLE : in std_logic;
54
           CLK : in std_logic;
55
           OUTPUT : out std_logic);
56
end STORE_BLOCK;
57
 
58
architecture RTL of STORE_BLOCK is
59
         component      ENABLEABLE_D_TYPE
60
         port (DATA_IN, ENABLE, CLK: in std_logic;
61
                         DATA_OUT:      out std_logic);
62
        end component;
63
 
64
        signal LOAD_VALUE : std_logic;
65
begin
66
 
67
 
68
        STORAGE: ENABLEABLE_D_TYPE
69
        port map(DATA_IN => LOAD_VALUE,
70
                                ENABLE => ENABLE,
71
                                CLK => CLK,
72
                                DATA_OUT => OUTPUT);
73
 
74
        SELECT_VALUE: process(SHIFT,SHIFT_IN,LOAD_IN)
75
        begin
76
        if SHIFT = '1' then
77
                LOAD_VALUE <= SHIFT_IN;
78
        else
79
                LOAD_VALUE <= LOAD_IN;
80
        end if;
81
        end process SELECT_VALUE;
82
 
83
 
84
 
85
 
86
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.