OpenCores
URL https://opencores.org/ocsvn/dirac/dirac/trunk

Subversion Repositories dirac

[/] [dirac/] [trunk/] [src/] [encoder/] [OUTPUT_UNIT.vhd] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 petebleack
 -- ***** BEGIN LICENSE BLOCK *****
2
-- 
3
-- $Id: OUTPUT_UNIT.vhd,v 1.2 2005-05-27 16:00:30 petebleackley Exp $ $Name: not supported by cvs2svn $
4
-- *
5
-- * Version: MPL 1.1/GPL 2.0/LGPL 2.1
6
-- *
7
-- * The contents of this file are subject to the Mozilla Public License
8
-- * Version 1.1 (the "License"); you may not use this file except in compliance
9
-- * with the License. You may obtain a copy of the License at
10
-- * http://www.mozilla.org/MPL/
11
-- *
12
-- * Software distributed under the License is distributed on an "AS IS" basis,
13
-- * WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License for
14
-- * the specific language governing rights and limitations under the License.
15
-- *
16
-- * The Original Code is BBC Research and Development code.
17
-- *
18
-- * The Initial Developer of the Original Code is the British Broadcasting
19
-- * Corporation.
20
-- * Portions created by the Initial Developer are Copyright (C) 2004.
21
-- * All Rights Reserved.
22
-- *
23
-- * Contributor(s): Peter Bleackley (Original author)
24
-- *
25
-- * Alternatively, the contents of this file may be used under the terms of
26
-- * the GNU General Public License Version 2 (the "GPL"), or the GNU Lesser
27
-- * Public License Version 2.1 (the "LGPL"), in which case the provisions of
28
-- * the GPL or the LGPL are applicable instead of those above. If you wish to
29
-- * allow use of your version of this file only under the terms of the either
30
-- * the GPL or LGPL and not to allow others to use your version of this file
31
-- * under the MPL, indicate your decision by deleting the provisions above
32
-- * and replace them with the notice and other provisions required by the GPL
33
-- * or LGPL. If you do not delete the provisions above, a recipient may use
34
-- * your version of this file under the terms of any one of the MPL, the GPL
35
-- * or the LGPL.
36
-- * ***** END LICENSE BLOCK ***** */
37
 
38 2 petebleack
library IEEE;
39
use IEEE.STD_LOGIC_1164.ALL;
40
use IEEE.STD_LOGIC_ARITH.ALL;
41
use IEEE.STD_LOGIC_UNSIGNED.ALL;
42
 
43
--  Uncomment the following lines to use the declarations that are
44
--  provided for instantiating Xilinx primitive components.
45
--library UNISIM;
46
--use UNISIM.VComponents.all;
47
 
48 5 petebleack
 entity OUTPUT_UNIT is
49 2 petebleack
    Port ( ENABLE : in std_logic;
50
           DATA : in std_logic;
51
           FOLLOW : in std_logic;
52
                          RESET :       in std_logic;
53
           CLOCK : in std_logic;
54
           SENDING : out std_logic;
55
                          DATA_OUT : out std_logic;
56
           FOLLOW_COUNTER_TEST : out std_logic;
57
           SHIFT : out std_logic);
58
end OUTPUT_UNIT;
59
 
60
architecture RTL of OUTPUT_UNIT is
61
        signal OUTVALUE:        std_logic;
62
        signal DELAYED: std_logic;
63
        signal NOFOLLOW:        std_logic;
64
        signal ACTIVE:  std_logic;
65
        signal FEEDBACK : std_logic;
66
begin
67
 
68
-- combinatorial logic
69
 
70
        ACTIVE <= ENABLE and not (FEEDBACK or RESET);
71
        OUTVALUE <= DATA xor FOLLOW;
72
        NOFOLLOW <= not FOLLOW;
73
        DATA_OUT <= ACTIVE and OUTVALUE;
74
        FOLLOW_COUNTER_TEST <= DELAYED;
75
        FEEDBACK <= DELAYED and NOFOLLOW;
76
        SHIFT <= FEEDBACK;
77
        SENDING <= ACTIVE;
78
 
79
-- sequential logic
80
 
81 5 petebleack
FLIP_FLOP: process (CLOCK)
82
        begin
83
        if CLOCK'event and CLOCK = '1' then
84
                DELAYED <= ACTIVE;
85
        end if;
86
        end process FLIP_FLOP;
87 2 petebleack
 
88
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.