OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [BENCH/] [tb_generic_const_rdecal_x16.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 leoel
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: Léo Germond
4
--
5
-- Create Date:   22:18:23 11/04/2009
6
-- Design Name:   
7
-- Module Name:   C:/Users/microcon/tb_generic_const_rdecal_x16.vhd
8
-- Project Name:  microcon
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: generic_const_rdecal_x16
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
USE ieee.std_logic_unsigned.all;
31
USE ieee.numeric_std.ALL;
32
 
33
ENTITY tb_generic_const_rdecal_x16 IS
34
END tb_generic_const_rdecal_x16;
35
 
36
ARCHITECTURE behavior OF tb_generic_const_rdecal_x16 IS
37
 
38
    -- Component Declaration for the Unit Under Test (UUT)
39
 
40
    COMPONENT generic_const_rdecal_x16
41
         GENERIC( BIT_DECAL: natural range 0 to 15);
42
    PORT(
43
         data : IN  std_logic_vector(15 downto 0);
44
         en : IN  std_logic;
45
         decal : OUT  std_logic_vector(15 downto 0)
46
        );
47
    END COMPONENT;
48
 
49
 
50
   --Inputs
51
   signal data : std_logic_vector(15 downto 0) := (others => '0');
52
   signal en : std_logic := '0';
53
 
54
        --Outputs
55
   signal decal1 : std_logic_vector(15 downto 0);
56
   signal decal2 : std_logic_vector(15 downto 0);
57
   signal decal3 : std_logic_vector(15 downto 0);
58
   signal decal4 : std_logic_vector(15 downto 0);
59
   signal decal5 : std_logic_vector(15 downto 0);
60
 
61
BEGIN
62
 
63
        -- Instantiate the Unit Under Test (UUT)
64
   uut: generic_const_rdecal_x16 generic map(BIT_DECAL => 1) PORT MAP (
65
          data => data,
66
          en => en,
67
          decal => decal1
68
        );
69
   uut2: generic_const_rdecal_x16 generic map(BIT_DECAL => 2) PORT MAP (
70
          data => data,
71
          en => en,
72
          decal => decal2
73
        );
74
   uut3: generic_const_rdecal_x16 generic map(BIT_DECAL => 3) PORT MAP (
75
          data => data,
76
          en => en,
77
          decal => decal3
78
        );
79
   uut4: generic_const_rdecal_x16 generic map(BIT_DECAL => 4) PORT MAP (
80
          data => data,
81
          en => en,
82
          decal => decal4
83
        );
84
   uut5: generic_const_rdecal_x16 generic map(BIT_DECAL => 5) PORT MAP (
85
          data => data,
86
          en => en,
87
          decal => decal5
88
        );
89
 
90
 
91
   -- Stimulus process
92
   stim_proc: process
93
   begin
94
                data <= x"ffff";
95
                en <= '0';
96
      wait for 100 ns;
97
                en <= '1';
98
      wait for 100 ns;
99
                en <= '0';
100
 
101
      wait for 100 ns;
102
                data <= x"fafb";
103
                en <= '0';
104
      wait for 100 ns;
105
                en <= '1';
106
      wait for 100 ns;
107
                en <= '0';
108
 
109
      wait;
110
   end process;
111
 
112
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.