OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [BENCH/] [tb_single_rdecal_x16.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 leoel
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: Léo Germond
4
--
5
-- Create Date:   22:18:23 11/04/2009
6
-- Design Name:   
7
-- Module Name:   C:/Users/microcon/tb_single_rdecal_x16.vhd
8
-- Project Name:  microcon
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: single_rdecal_x16
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
USE ieee.std_logic_unsigned.all;
31
USE ieee.numeric_std.ALL;
32
 
33
ENTITY tb_single_rdecal_x16 IS
34
END tb_single_rdecal_x16;
35
 
36
ARCHITECTURE behavior OF tb_single_rdecal_x16 IS
37
 
38
    -- Component Declaration for the Unit Under Test (UUT)
39
 
40
    COMPONENT single_rdecal_x16
41
    PORT(
42
         data : IN  std_logic_vector(15 downto 0);
43
         op : IN  std_logic;
44
         decal : OUT  std_logic_vector(15 downto 0)
45
        );
46
    END COMPONENT;
47
 
48
 
49
   --Inputs
50
   signal data : std_logic_vector(15 downto 0) := (others => '0');
51
   signal op : std_logic := '0';
52
 
53
        --Outputs
54
   signal decal : std_logic_vector(15 downto 0);
55
 
56
BEGIN
57
 
58
        -- Instantiate the Unit Under Test (UUT)
59
   uut: single_rdecal_x16 PORT MAP (
60
          data => data,
61
          op => op,
62
          decal => decal
63
        );
64
 
65
 
66
   -- Stimulus process
67
   stim_proc: process
68
   begin
69
                data <= x"ffff";
70
                op <= '0';
71
      wait for 100 ns;
72
                op <= '1';
73
      wait for 100 ns;
74
                op <= '0';
75
 
76
      wait for 100 ns;
77
                data <= x"fafb";
78
                op <= '0';
79
      wait for 100 ns;
80
                op <= '1';
81
      wait for 100 ns;
82
                op <= '0';
83
 
84
      wait;
85
   end process;
86
 
87
END;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.