OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [bus_access_x16.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 leoel
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:  Léo Germond
4
-- 
5
-- Create Date:    16:48:27 11/08/2009 
6
-- Design Name: 
7
-- Module Name:    bus_access_x16 - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity bus_access_x16 is
31 7 leoel
    Port ( en : in  STD_LOGIC;
32 4 leoel
           dataRead : in  STD_LOGIC_VECTOR (15 downto 0);
33
           dataWrite : out  STD_LOGIC_VECTOR (15 downto 0));
34
end bus_access_x16;
35
 
36
architecture Behavioral of bus_access_x16 is
37 7 leoel
begin
38
 
39
        process(en, dataRead)
40 4 leoel
        begin
41
                        if en = '1' then
42
                                dataWrite <= dataRead;
43
                        else
44
                                dataWrite <= (others => 'Z');
45
                        end if;
46
        end process;
47
end Behavioral;
48
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.