OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [bus_register_x16.vhd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 leoel
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    21:30:09 11/08/2009 
6
-- Design Name: 
7
-- Module Name:    bus_register_x16 - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity bus_register_x16 is
31
    Port ( clk : in  STD_LOGIC;
32
           reset : in  STD_LOGIC;
33
           re : in  STD_LOGIC;
34
           we : in  STD_LOGIC;
35
           dataIn : in  STD_LOGIC_VECTOR (15 downto 0);
36
           dataOut : out STD_LOGIC_VECTOR (15 downto 0));
37
end bus_register_x16;
38
 
39
architecture Behavioral of bus_register_x16 is
40
        signal data: std_logic_vector(15 downto 0);
41
 
42
        component bus_access_x16
43
    Port ( en : in  STD_LOGIC;
44
           dataRead : in  STD_LOGIC_VECTOR (15 downto 0);
45
           dataWrite : out  STD_LOGIC_VECTOR (15 downto 0));
46
        end component;
47
begin
48
 
49
        ba: bus_access_x16
50
                port map(       en => we,
51
                                                dataRead=>data,
52
                                                dataWrite=>dataOut);
53
 
54
 
55
        readData: process(clk) is
56
        begin
57
                if clk'event and clk = '1' then
58
                        if re = '1' then
59
                                data <= dataIn;
60
                        end if;
61
                end if;
62
        end process;
63
 
64
end Behavioral;
65
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.