OpenCores
URL https://opencores.org/ocsvn/distributed_intelligence/distributed_intelligence/trunk

Subversion Repositories distributed_intelligence

[/] [distributed_intelligence/] [trunk/] [SRC/] [single_rdecal_x16.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 leoel
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:  Léo Germond
4
-- 
5
-- Create Date:    19:34:28 11/04/2009 
6
-- Design Name: 
7
-- Module Name:    single_rdecal_x16 - Behavioral 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
use IEEE.STD_LOGIC_ARITH.ALL;
23
use IEEE.STD_LOGIC_UNSIGNED.ALL;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity single_rdecal_x16 is
31
    Port ( data : in  STD_LOGIC_VECTOR (15 downto 0);
32
           op : in  STD_LOGIC ;
33
           decal : out  STD_LOGIC_VECTOR (15 downto 0));
34
end single_rdecal_x16;
35
 
36
architecture Behavioral of single_rdecal_x16 is
37
 
38
begin
39
        process(data, op)
40
        begin
41
                if op = '1' then
42
                        decal <= "0" & data(15 downto 1);
43
                else
44
                        decal <= data;
45
                end if;
46
        end process;
47
 
48
end Behavioral;
49
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.