OpenCores
URL https://opencores.org/ocsvn/djpeg/djpeg/trunk

Subversion Repositories djpeg

[/] [djpeg/] [trunk/] [src/] [jpeg_dqt.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 hidemi
//---------------------------------------------------------------------------
2 9 hidemi
// File Name    : jpeg_dqt.v
3
// Module Name  : jpeg_dqt
4
// Description  : DQT spcae
5
// Project      : JPEG Decoder
6
// Belong to    : 
7
// Author       : H.Ishihara
8
// E-Mail       : hidemi@sweetcafe.jp
9
// HomePage     : http://www.sweetcafe.jp/
10
// Date         : 2006/10/01
11
// Rev.         : 1.1
12 3 hidemi
//---------------------------------------------------------------------------
13 9 hidemi
// Rev. Date         Description
14 3 hidemi
//---------------------------------------------------------------------------
15
// 1.01 2006/10/01 1st Release
16
//---------------------------------------------------------------------------
17
`timescale 1ps / 1ps
18
 
19 9 hidemi
module jpeg_dqt(
20
    rst,
21
    clk,
22 3 hidemi
 
23 9 hidemi
    DataInEnable,
24
    DataInColor,
25
    DataInCount,
26
    DataIn,
27 3 hidemi
 
28 9 hidemi
    TableColor,
29
    TableNumber,
30
    TableData
31
);
32 3 hidemi
 
33 9 hidemi
    input           rst;
34
    input           clk;
35 3 hidemi
 
36 9 hidemi
    input           DataInEnable;
37
    input           DataInColor;
38
    input [5:0]     DataInCount;
39
    input [7:0]     DataIn;
40 3 hidemi
 
41 9 hidemi
    input           TableColor;
42
    input  [5:0]    TableNumber;
43
    output [7:0]    TableData;
44 3 hidemi
 
45 9 hidemi
    // RAM
46
    reg [7:0]       DQT_Y [0:63];
47
    reg [7:0]       DQT_C [0:63];
48 3 hidemi
 
49 9 hidemi
    // RAM
50
    always @(posedge clk) begin
51 3 hidemi
        if(DataInEnable ==1'b1 && DataInColor ==1'b0) begin
52 9 hidemi
            DQT_Y[DataInCount] <= DataIn;
53 3 hidemi
        end
54
        if(DataInEnable ==1'b1 && DataInColor ==1'b1) begin
55 9 hidemi
            DQT_C[DataInCount] <= DataIn;
56 3 hidemi
        end
57 9 hidemi
    end
58 3 hidemi
 
59 9 hidemi
    reg [7:0] TableDataY;
60
    reg [7:0] TableDataC;
61 3 hidemi
 
62 9 hidemi
    // RAM out
63
    always @(posedge clk) begin
64
        TableDataY <= DQT_Y[TableNumber];
65
        TableDataC <= DQT_C[TableNumber];
66
    end
67 3 hidemi
 
68 9 hidemi
    // Selector
69
    assign TableData = (TableColor)?TableDataC:TableDataY;
70
 
71
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.