OpenCores
URL https://opencores.org/ocsvn/dma_ahb/dma_ahb/trunk

Subversion Repositories dma_ahb

[/] [dma_ahb/] [trunk/] [src/] [dma_ahb32/] [dma_ahb32_core0_ch_wr_slicer.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eyalhoc
//---------------------------------------------------------
2
//-- File generated by RobustVerilog parser
3
//-- Version: 1.0
4
//-- Invoked Fri Mar 25 23:31:25 2011
5
//--
6
//-- Source file: dma_ch_wr_slicer.v
7
//---------------------------------------------------------
8
 
9
 
10
 
11
module  dma_ahb32_core0_ch_wr_slicer (clk,reset,ch_update,rd_clr_line,fifo_wr,fifo_wdata,fifo_wsize,wr_align,wr_ptr,rd_incr,end_swap,slice_wr,slice_wr_fifo,slice_wr_ptr,slice_bsel,slice_wdata,slice_wsize);
12
 
13
   input               clk;
14
   input               reset;
15
 
16
   input               ch_update;
17
   input               rd_clr_line;
18
 
19
   input               fifo_wr;
20
   input [32-1:0]      fifo_wdata;
21
   input [3-1:0]      fifo_wsize;
22
   input [2-1:0]      wr_align;
23
   input [5-1:0]      wr_ptr;
24
   input               rd_incr;
25
   input [1:0]               end_swap;
26
 
27
   output               slice_wr;
28
   output               slice_wr_fifo;
29
   output [5-1:0]     slice_wr_ptr;
30
   output [4-1:0]     slice_bsel;
31
   output [32-1:0]     slice_wdata;
32
   output [3-1:0]     slice_wsize;
33
 
34
 
35
 
36
   reg [3-1:0]           line_remain;
37
   wire [3-1:0]       join_wsize;
38
   wire [3-1:0]       append_wsize;
39
   wire [3-1:0]       direct_wsize;
40
   reg                   append;
41
   reg [3-1:0]           next_size;
42
 
43
   reg [32-1:0]           align_wdata;
44
   reg [32-1:0]           align_wdata_d;
45
   wire [2-1:0]       wr_align_valid;
46
   reg [32-1:0]           next_wdata;
47
   wire [4-1:0]       bsel_dec;
48
   reg [4-1:0]           bsel_shift;
49
 
50
   wire               next_wr;
51
 
52
   wire               slice_wr_pre;
53
   wire [5-1:0]       slice_wr_ptr_pre;
54
   wire [4-1:0]       slice_bsel_pre;
55
   wire [4-1:0]       slice_bsel_swap;
56
   wire [32-1:0]       slice_wdata_pre;
57
   reg [32-1:0]           slice_wdata_pre_d;
58
   wire [32-1:0]       slice_wdata_swap;
59
   wire [3-1:0]       slice_wsize_pre;
60
 
61
   wire               slice_wr;
62
   wire               slice_wr_fifo;
63
   reg [5-1:0]           slice_wr_ptr;
64
   reg [4-1:0]           slice_bsel;
65
   reg [32-1:0]           slice_wdata;
66
   reg [3-1:0]           slice_wsize;
67
 
68
 
69
   always @(posedge clk or posedge reset)
70
     if (reset)
71
       line_remain <= #1 3'd4;
72
     else if (ch_update |  rd_clr_line)
73
       line_remain <= #1 3'd4;
74
     else if (slice_wr_pre & (line_remain == slice_wsize_pre))
75
       line_remain <= #1 3'd4;
76
     else if (slice_wr_pre)
77
       line_remain <= #1 line_remain - slice_wsize_pre;
78
 
79
   assign               join_wsize = next_size + fifo_wsize;
80
 
81
   prgen_min2 #(3) min2_append(
82
                     .a(join_wsize),
83
                     .b(3'd4),
84
                     .min(append_wsize)
85
                     );
86
 
87
   prgen_min2 #(3) min2_direct(
88
                     .a(line_remain),
89
                     .b(fifo_wsize),
90
                     .min(direct_wsize)
91
                     );
92
 
93
 
94
   always @(posedge clk or posedge reset)
95
     if (reset)
96
       append  <= #1 1'b0;
97
     else if (next_wr)
98
       append  <= #1 1'b0;
99
     else if (fifo_wr & (slice_wsize_pre == join_wsize))
100
       append  <= #1 1'b0;
101
     else if (fifo_wr)
102
       append  <= #1 1'b1;
103
 
104
 
105
   always @(posedge clk or posedge reset)
106
     if (reset)
107
       next_size  <= #1 {3{1'b0}};
108
     else if (next_wr)
109
       next_size  <= #1 {3{1'b0}};
110
     else if (fifo_wr & append)
111
       next_size  <= #1 join_wsize - append_wsize;
112
     else if (fifo_wr)
113
       next_size  <= #1 join_wsize - direct_wsize;
114
 
115
 
116
   //WDATA
117
   always @(posedge clk or posedge reset)
118
     if (reset)
119
       align_wdata_d <= #1 {32{1'b0}};
120
     else if (fifo_wr)
121
       align_wdata_d <= #1 align_wdata;
122
 
123
 
124
   assign               wr_align_valid =
125
                  rd_incr ? wr_align :
126
                  wr_align - wr_ptr[2-1:0];
127
 
128
   //always @(/*AUTOSENSE*/) - no AUTOSENSE because of fifo_wr
129
   always @(fifo_wdata or wr_align_valid or fifo_wr)
130
     begin
131
    case (wr_align_valid[2-1:0])
132
      2'd0 : align_wdata = fifo_wdata;
133
      2'd1 : align_wdata = {fifo_wdata[7:0],  fifo_wdata[31:8]};
134
      2'd2 : align_wdata = {fifo_wdata[15:0], fifo_wdata[31:16]};
135
      2'd3 : align_wdata = {fifo_wdata[23:0], fifo_wdata[31:24]};
136
    endcase
137
     end
138
 
139
 
140
   always @(/*AUTOSENSE*/align_wdata or align_wdata_d or next_size)
141
     begin
142
    case (next_size[2-1:0])
143
      2'd0 : next_wdata = align_wdata_d;
144
      2'd1 : next_wdata = {align_wdata[31:8],  align_wdata_d[7:0]};
145
      2'd2 : next_wdata = {align_wdata[31:16], align_wdata_d[15:0]};
146
      2'd3 : next_wdata = {align_wdata[31:24], align_wdata_d[23:0]};
147
    endcase
148
     end
149
 
150
 
151
   //BSEL
152
   assign bsel_dec =
153
      slice_wsize == 4'd1 ? 4'b0001 :
154
      slice_wsize == 4'd2 ? 4'b0011 :
155
      slice_wsize == 4'd3 ? 4'b0111 :
156
      slice_wsize == 4'd4 ? 4'b1111 :
157
             {4{1'b0}};
158
 
159
 
160
   always @(/*AUTOSENSE*/bsel_dec or wr_ptr)
161
     begin
162
    case (wr_ptr[2-1:0])
163
      2'd0 : bsel_shift = bsel_dec;
164
      2'd1 : bsel_shift = {bsel_dec[2:0], 1'b0};
165
      2'd2 : bsel_shift = {bsel_dec[1:0], 2'b0};
166
      2'd3 : bsel_shift = {bsel_dec[0],   3'b0};
167
    endcase
168
     end
169
 
170
 
171
   //CMD
172
   assign next_wr             = (~fifo_wr) & (|next_size);
173
 
174
   assign slice_wr_pre        = fifo_wr | next_wr;
175
 
176
   assign slice_wsize_pre     =
177
      next_wr ? next_size    :
178
      append  ? append_wsize : direct_wsize;
179
 
180
   assign slice_wr_ptr_pre    = wr_ptr;
181
 
182
   assign slice_wdata_pre     = append ? next_wdata : align_wdata;
183
 
184
   assign slice_bsel_pre      = bsel_shift;
185
 
186
 
187
   prgen_delay #(1) delay_wr0(.clk(clk), .reset(reset), .din(slice_wr_pre), .dout(slice_wr));
188
   prgen_delay #(1) delay_wr(.clk(clk), .reset(reset), .din(slice_wr), .dout(slice_wr_fifo));
189
 
190
 
191
   always @(posedge clk or posedge reset)
192
     if (reset)
193
       begin
194
      slice_wsize       <= #1 {3{1'b0}};
195
      slice_wdata_pre_d <= #1 {32{1'b0}};
196
       end
197
     else if (slice_wr_pre)
198
       begin
199
      slice_wsize       <= #1 slice_wsize_pre;
200
      slice_wdata_pre_d <= #1 slice_wdata_pre;
201
       end
202
 
203
 
204
   prgen_swap32 swap32(
205
               .end_swap(end_swap),
206
               .data_in(slice_wdata_pre_d),
207
               .data_out(slice_wdata_swap),
208
               .bsel_in(slice_bsel_pre),
209
               .bsel_out(slice_bsel_swap)
210
               );
211
 
212
   always @(posedge clk or posedge reset)
213
     if (reset)
214
       begin
215
      slice_wdata   <= #1 {32{1'b0}};
216
      slice_wr_ptr  <= #1 {5{1'b0}};
217
      slice_bsel    <= #1 {4{1'b0}};
218
       end
219
     else if (slice_wr)
220
       begin
221
      slice_wdata   <= #1 slice_wdata_swap;
222
      slice_wr_ptr  <= #1 slice_wr_ptr_pre;
223
      slice_bsel    <= #1 slice_bsel_swap;
224
       end
225
 
226
endmodule
227
 
228
 
229
 
230
 
231
 
232
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.