OpenCores
URL https://opencores.org/ocsvn/double_fpu/double_fpu/trunk

Subversion Repositories double_fpu

[/] [double_fpu/] [tags/] [arelease/] [fpu_div.v] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 davidklun
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  FPU                                                        ////
4
////  Floating Point Unit (Double precision)                     ////
5
////                                                             ////
6
////  Author: David Lundgren                                     ////
7
////          davidklun@gmail.com                                ////
8
////                                                             ////
9
/////////////////////////////////////////////////////////////////////
10
////                                                             ////
11
//// Copyright (C) 2009 David Lundgren                           ////
12
////                  davidklun@gmail.com                        ////
13
////                                                             ////
14
//// This source file may be used and distributed without        ////
15
//// restriction provided that this copyright statement is not   ////
16
//// removed from the file and that any derivative work contains ////
17
//// the original copyright notice and the associated disclaimer.////
18
////                                                             ////
19
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
20
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
21
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
22
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
23
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
24
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
25
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
26
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
27
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
28
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
29
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
30
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
31
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
32
////                                                             ////
33
/////////////////////////////////////////////////////////////////////
34
 
35
 
36
`timescale 1ns / 100ps
37
 
38
module fpu_div( clk, rst, enable, opa, opb, sign, mantissa_7,
39
exponent_out);
40
input           clk;
41
input           rst;
42
input           enable;
43
input   [63:0]   opa;
44
input   [63:0]   opb;
45
output          sign;
46
output  [55:0] mantissa_7;
47
output  [11:0] exponent_out;
48
 
49
parameter       preset  = 53;
50
 
51
reg [53:0] dividend_reg;
52
reg [53:0] divisor_reg;
53
reg enable_reg;
54
reg enable_reg_2;
55
reg enable_reg_a;
56
reg enable_reg_b;
57
reg enable_reg_c;
58
reg enable_reg_d;
59
reg enable_reg_e;
60
reg [5:0]        dividend_shift;
61
reg [5:0]        dividend_shift_2;
62
reg [5:0]        divisor_shift;
63
reg [5:0]        divisor_shift_2;
64
reg [5:0]        count_out;
65
reg [11:0]  exponent_out;
66
 
67
 
68
wire   sign = opa[63] ^ opb[63];
69
reg [51:0] mantissa_a;
70
reg [51:0] mantissa_b;
71
wire [10:0] expon_a = opa[62:52];
72
wire [10:0] expon_b = opb[62:52];
73
wire    a_is_norm = |expon_a;
74
wire    b_is_norm = |expon_b;
75
wire    a_is_zero = !(opa[62:0]);
76
wire [11:0] exponent_a = { 1'b0, expon_a};
77
wire [11:0] exponent_b = { 1'b0, expon_b};
78
reg [51:0] dividend_a;
79
reg [51:0] dividend_a_shifted;
80
wire [52:0] dividend_denorm = { dividend_a_shifted, 1'b0};
81
wire [53:0]      dividend_1 = a_is_norm ? { 2'b01, dividend_a } : { 1'b0, dividend_denorm};
82
reg [51:0] divisor_b;
83
reg [51:0] divisor_b_shifted;
84
wire [52:0] divisor_denorm = { divisor_b_shifted, 1'b0};
85
wire [53:0]      divisor_1 = b_is_norm ? { 2'b01, divisor_b } : { 1'b0, divisor_denorm};
86
wire [5:0] count_index = count_out;
87
wire count_nonzero = !(count_index == 0);
88
reg [53:0] quotient;
89
reg     [53:0] quotient_out;
90
reg [53:0] remainder;
91
reg [53:0] remainder_out;
92
reg remainder_msb;
93
reg count_nonzero_reg;
94
reg count_nonzero_reg_2;
95
wire count_preset = (count_index == preset);
96
reg [11:0] expon_term;
97
reg expon_uf_1;
98
reg [11:0] expon_uf_term_1;
99
reg [11:0] expon_final_1;
100
reg [11:0] expon_final_2;
101
reg [11:0] expon_shift_a;
102
reg [11:0] expon_shift_b;
103
reg expon_uf_2;
104
reg [11:0] expon_uf_term_2;
105
reg [11:0] expon_uf_term_3;
106
reg expon_uf_gt_maxshift;
107
reg [11:0] expon_uf_term_4;
108
reg [11:0] expon_final_3;
109
reg [11:0] expon_final_4;
110
wire quotient_msb = quotient_out[53];
111
reg expon_final_4_et0;
112
reg expon_final_4_term;
113
reg [11:0] expon_final_5;
114
reg [51:0] mantissa_1;
115
wire [51:0] mantissa_2 = quotient_out[52:1];
116
wire [51:0] mantissa_3 = quotient_out[51:0];
117
wire [51:0] mantissa_4 = quotient_msb ? mantissa_2 : mantissa_3;
118
wire [51:0] mantissa_5 = (expon_final_4 == 1) ? mantissa_2 : mantissa_4;
119
wire [51:0] mantissa_6 = expon_final_4_et0 ? mantissa_1 : mantissa_5;
120
wire [107:0] remainder_a = { quotient_out[53:0] , remainder_msb, remainder_out[52:0]};
121
reg [6:0] remainder_shift_term;
122
reg [107:0] remainder_b;
123
wire [55:0] remainder_1 = remainder_b[107:52];
124
wire [55:0] remainder_2 = { quotient_out[0] , remainder_msb, remainder_out[52:0], 1'b0 };
125
wire [55:0] remainder_3 = { remainder_msb , remainder_out[52:0], 2'b0 };
126
wire [55:0] remainder_4 = quotient_msb ? remainder_2 : remainder_3;
127
wire [55:0] remainder_5 = (expon_final_4 == 1) ? remainder_2 : remainder_4;
128
wire [55:0] remainder_6 = expon_final_4_et0 ? remainder_1 : remainder_5;
129
wire    m_norm = |expon_final_5;
130
wire    rem_lsb = |remainder_6[54:0];
131
wire [55:0] mantissa_7 = { 1'b0, m_norm, mantissa_6, remainder_6[55], rem_lsb };
132
 
133
always @ (posedge clk)
134
begin
135
        if (rst)
136
                exponent_out <= 0;
137
        else
138
                exponent_out <= a_is_zero ? 12'b0 : expon_final_5;
139
end
140
 
141
always @ (posedge clk)
142
begin
143
        if (rst)
144
                count_out <= 0;
145
        else if (enable_reg)
146
                count_out <= preset;
147
        else if (count_nonzero)
148
                count_out <= count_out - 1;
149
end
150
 
151
always @ (posedge clk)
152
begin
153
        if (rst) begin
154
                quotient_out <= 0;
155
                remainder_out <= 0;
156
                end
157
        else begin
158
                quotient_out <= quotient;
159
                remainder_out <= remainder;
160
                end
161
end
162
 
163
 
164
always @ (posedge clk)
165
begin
166
        if (rst)
167
                quotient <= 0;
168
        else if (count_nonzero_reg)
169
                quotient[count_index] <= !(divisor_reg > dividend_reg);
170
end
171
 
172
always @ (posedge clk)
173
begin
174
        if (rst) begin
175
                remainder <= 0;
176
                remainder_msb <= 0;
177
                end
178
        else if (!count_nonzero_reg & count_nonzero_reg_2) begin
179
            remainder <= dividend_reg;
180
                remainder_msb <= (divisor_reg > dividend_reg) ? 0 : 1;
181
                end
182
end
183
 
184
always @ (posedge clk)
185
begin
186
        if (rst) begin
187
                dividend_reg <= 0;
188
                divisor_reg <= 0;
189
                end
190
        else if (enable_reg_e) begin
191
                dividend_reg <= dividend_1;
192
                divisor_reg <= divisor_1;
193
                end
194
        else if (count_nonzero_reg)
195
                dividend_reg <= (divisor_reg > dividend_reg) ? dividend_reg << 1 :
196
                                                (dividend_reg - divisor_reg) << 1;
197
                // divisor doesn't change for the divide
198
end
199
 
200
always @ (posedge clk)
201
begin
202
        if (rst) begin
203
                expon_term  <= 0;
204
                expon_uf_1 <= 0;
205
        expon_uf_term_1 <= 0;
206
        expon_final_1 <= 0;
207
        expon_final_2 <= 0;
208
        expon_shift_a <= 0;
209
        expon_shift_b <= 0;
210
                expon_uf_2 <= 0;
211
        expon_uf_term_2 <= 0;
212
        expon_uf_term_3 <= 0;
213
                expon_uf_gt_maxshift <= 0;
214
        expon_uf_term_4 <= 0;
215
        expon_final_3 <= 0;
216
        expon_final_4 <= 0;
217
                expon_final_4_et0 <= 0;
218
                expon_final_4_term <= 0;
219
        expon_final_5 <= 0;
220
        mantissa_a <= 0;
221
                mantissa_b <= 0;
222
                dividend_a <= 0;
223
                divisor_b <= 0;
224
                dividend_shift_2 <= 0;
225
                divisor_shift_2 <= 0;
226
                remainder_shift_term <= 0;
227
                remainder_b <= 0;
228
                dividend_a_shifted <= 0;
229
                divisor_b_shifted <=  0;
230
                mantissa_1 <= 0;
231
                end
232
        else if (enable_reg_2) begin
233
                expon_term  <= exponent_a + 1023;
234
                expon_uf_1 <= exponent_b > expon_term;
235
        expon_uf_term_1 <= expon_uf_1 ? (exponent_b - expon_term) : 0;
236
        expon_final_1 <= expon_term - exponent_b;
237
        expon_final_2 <= expon_uf_1 ? 0 : expon_final_1;
238
        expon_shift_a <= a_is_norm ? 0 : dividend_shift_2;
239
        expon_shift_b <= b_is_norm ? 0 : divisor_shift_2;
240
                expon_uf_2 <= expon_shift_a > expon_final_2;
241
        expon_uf_term_2 <= expon_uf_2 ? (expon_shift_a - expon_final_2) : 0;
242
        expon_uf_term_3 <= expon_uf_term_2 + expon_uf_term_1;
243
                expon_uf_gt_maxshift <= (expon_uf_term_3 > 51);
244
        expon_uf_term_4 <= expon_uf_gt_maxshift ? 52 : expon_uf_term_3;
245
        expon_final_3 <= expon_uf_2 ? 0 : (expon_final_2 - expon_shift_a);
246
        expon_final_4 <= expon_final_3 + expon_shift_b;
247
                expon_final_4_et0 <= (expon_final_4 == 0);
248
                expon_final_4_term <= expon_final_4_et0 ? 0 : 1;
249
        expon_final_5 <= quotient_msb ? expon_final_4 : expon_final_4 - expon_final_4_term;
250
                mantissa_a <= opa[51:0];
251
                mantissa_b <= opb[51:0];
252
                dividend_a <= mantissa_a;
253
                divisor_b <= mantissa_b;
254
                dividend_shift_2 <= dividend_shift;
255
                divisor_shift_2 <= divisor_shift;
256
                remainder_shift_term <= 52 - expon_uf_term_4;
257
                remainder_b <= remainder_a << remainder_shift_term;
258
                dividend_a_shifted <= dividend_a << dividend_shift_2;
259
                divisor_b_shifted <= divisor_b << divisor_shift_2;
260
                mantissa_1 <= quotient_out[53:2] >> expon_uf_term_4;
261
                end
262
end
263
 
264
always @ (posedge clk)
265
begin
266
        if (rst) begin
267
                count_nonzero_reg <= 0;
268
                count_nonzero_reg_2 <= 0;
269
                enable_reg <= 0;
270
                enable_reg_a <= 0;
271
                enable_reg_b <= 0;
272
                enable_reg_c <= 0;
273
                enable_reg_d <= 0;
274
                enable_reg_e <= 0;
275
                end
276
        else begin
277
                count_nonzero_reg <= count_nonzero;
278
                count_nonzero_reg_2 <= count_nonzero_reg;
279
                enable_reg <= enable_reg_e;
280
                enable_reg_a <= enable;
281
                enable_reg_b <= enable_reg_a;
282
                enable_reg_c <= enable_reg_b;
283
                enable_reg_d <= enable_reg_c;
284
                enable_reg_e <= enable_reg_d;
285
                end
286
end
287
 
288
always @ (posedge clk)
289
begin
290
        if (rst)
291
                enable_reg_2 <= 0;
292
        else if (enable)
293
                enable_reg_2 <= 1;
294
end
295
 
296
 
297
always @(dividend_a)
298
   casex(dividend_a)
299
    52'b1???????????????????????????????????????????????????: dividend_shift = 0;
300
    52'b01??????????????????????????????????????????????????: dividend_shift = 1;
301
    52'b001?????????????????????????????????????????????????: dividend_shift = 2;
302
    52'b0001????????????????????????????????????????????????: dividend_shift = 3;
303
    52'b00001???????????????????????????????????????????????: dividend_shift = 4;
304
    52'b000001??????????????????????????????????????????????: dividend_shift = 5;
305
    52'b0000001?????????????????????????????????????????????: dividend_shift = 6;
306
    52'b00000001????????????????????????????????????????????: dividend_shift = 7;
307
        52'b000000001???????????????????????????????????????????: dividend_shift = 8;
308
    52'b0000000001??????????????????????????????????????????: dividend_shift = 9;
309
    52'b00000000001?????????????????????????????????????????: dividend_shift = 10;
310
    52'b000000000001????????????????????????????????????????: dividend_shift = 11;
311
    52'b0000000000001???????????????????????????????????????: dividend_shift = 12;
312
    52'b00000000000001??????????????????????????????????????: dividend_shift = 13;
313
    52'b000000000000001?????????????????????????????????????: dividend_shift = 14;
314
    52'b0000000000000001????????????????????????????????????: dividend_shift = 15;
315
    52'b00000000000000001???????????????????????????????????: dividend_shift = 16;
316
    52'b000000000000000001??????????????????????????????????: dividend_shift = 17;
317
    52'b0000000000000000001?????????????????????????????????: dividend_shift = 18;
318
    52'b00000000000000000001????????????????????????????????: dividend_shift = 19;
319
    52'b000000000000000000001???????????????????????????????: dividend_shift = 20;
320
    52'b0000000000000000000001??????????????????????????????: dividend_shift = 21;
321
    52'b00000000000000000000001?????????????????????????????: dividend_shift = 22;
322
    52'b000000000000000000000001????????????????????????????: dividend_shift = 23;
323
    52'b0000000000000000000000001???????????????????????????: dividend_shift = 24;
324
    52'b00000000000000000000000001??????????????????????????: dividend_shift = 25;
325
    52'b000000000000000000000000001?????????????????????????: dividend_shift = 26;
326
    52'b0000000000000000000000000001????????????????????????: dividend_shift = 27;
327
    52'b00000000000000000000000000001???????????????????????: dividend_shift = 28;
328
    52'b000000000000000000000000000001??????????????????????: dividend_shift = 29;
329
    52'b0000000000000000000000000000001?????????????????????: dividend_shift = 30;
330
    52'b00000000000000000000000000000001????????????????????: dividend_shift = 31;
331
    52'b000000000000000000000000000000001???????????????????: dividend_shift = 32;
332
    52'b0000000000000000000000000000000001??????????????????: dividend_shift = 33;
333
    52'b00000000000000000000000000000000001?????????????????: dividend_shift = 34;
334
    52'b000000000000000000000000000000000001????????????????: dividend_shift = 35;
335
    52'b0000000000000000000000000000000000001???????????????: dividend_shift = 36;
336
    52'b00000000000000000000000000000000000001??????????????: dividend_shift = 37;
337
    52'b000000000000000000000000000000000000001?????????????: dividend_shift = 38;
338
    52'b0000000000000000000000000000000000000001????????????: dividend_shift = 39;
339
    52'b00000000000000000000000000000000000000001???????????: dividend_shift = 40;
340
    52'b000000000000000000000000000000000000000001??????????: dividend_shift = 41;
341
    52'b0000000000000000000000000000000000000000001?????????: dividend_shift = 42;
342
    52'b00000000000000000000000000000000000000000001????????: dividend_shift = 43;
343
    52'b000000000000000000000000000000000000000000001???????: dividend_shift = 44;
344
    52'b0000000000000000000000000000000000000000000001??????: dividend_shift = 45;
345
    52'b00000000000000000000000000000000000000000000001?????: dividend_shift = 46;
346
    52'b000000000000000000000000000000000000000000000001????: dividend_shift = 47;
347
    52'b0000000000000000000000000000000000000000000000001???: dividend_shift = 48;
348
    52'b00000000000000000000000000000000000000000000000001??: dividend_shift = 49;
349
    52'b000000000000000000000000000000000000000000000000001?: dividend_shift = 50;
350
    52'b0000000000000000000000000000000000000000000000000001: dividend_shift = 51;
351
    52'b0000000000000000000000000000000000000000000000000000: dividend_shift = 52;
352
 
353
    endcase
354
 
355
always @(divisor_b)
356
   casex(divisor_b)
357
    52'b1???????????????????????????????????????????????????: divisor_shift = 0;
358
    52'b01??????????????????????????????????????????????????: divisor_shift = 1;
359
    52'b001?????????????????????????????????????????????????: divisor_shift = 2;
360
    52'b0001????????????????????????????????????????????????: divisor_shift = 3;
361
    52'b00001???????????????????????????????????????????????: divisor_shift = 4;
362
    52'b000001??????????????????????????????????????????????: divisor_shift = 5;
363
    52'b0000001?????????????????????????????????????????????: divisor_shift = 6;
364
    52'b00000001????????????????????????????????????????????: divisor_shift = 7;
365
        52'b000000001???????????????????????????????????????????: divisor_shift = 8;
366
    52'b0000000001??????????????????????????????????????????: divisor_shift = 9;
367
    52'b00000000001?????????????????????????????????????????: divisor_shift = 10;
368
    52'b000000000001????????????????????????????????????????: divisor_shift = 11;
369
    52'b0000000000001???????????????????????????????????????: divisor_shift = 12;
370
    52'b00000000000001??????????????????????????????????????: divisor_shift = 13;
371
    52'b000000000000001?????????????????????????????????????: divisor_shift = 14;
372
    52'b0000000000000001????????????????????????????????????: divisor_shift = 15;
373
    52'b00000000000000001???????????????????????????????????: divisor_shift = 16;
374
    52'b000000000000000001??????????????????????????????????: divisor_shift = 17;
375
    52'b0000000000000000001?????????????????????????????????: divisor_shift = 18;
376
    52'b00000000000000000001????????????????????????????????: divisor_shift = 19;
377
    52'b000000000000000000001???????????????????????????????: divisor_shift = 20;
378
    52'b0000000000000000000001??????????????????????????????: divisor_shift = 21;
379
    52'b00000000000000000000001?????????????????????????????: divisor_shift = 22;
380
    52'b000000000000000000000001????????????????????????????: divisor_shift = 23;
381
    52'b0000000000000000000000001???????????????????????????: divisor_shift = 24;
382
    52'b00000000000000000000000001??????????????????????????: divisor_shift = 25;
383
    52'b000000000000000000000000001?????????????????????????: divisor_shift = 26;
384
    52'b0000000000000000000000000001????????????????????????: divisor_shift = 27;
385
    52'b00000000000000000000000000001???????????????????????: divisor_shift = 28;
386
    52'b000000000000000000000000000001??????????????????????: divisor_shift = 29;
387
    52'b0000000000000000000000000000001?????????????????????: divisor_shift = 30;
388
    52'b00000000000000000000000000000001????????????????????: divisor_shift = 31;
389
    52'b000000000000000000000000000000001???????????????????: divisor_shift = 32;
390
    52'b0000000000000000000000000000000001??????????????????: divisor_shift = 33;
391
    52'b00000000000000000000000000000000001?????????????????: divisor_shift = 34;
392
    52'b000000000000000000000000000000000001????????????????: divisor_shift = 35;
393
    52'b0000000000000000000000000000000000001???????????????: divisor_shift = 36;
394
    52'b00000000000000000000000000000000000001??????????????: divisor_shift = 37;
395
    52'b000000000000000000000000000000000000001?????????????: divisor_shift = 38;
396
    52'b0000000000000000000000000000000000000001????????????: divisor_shift = 39;
397
    52'b00000000000000000000000000000000000000001???????????: divisor_shift = 40;
398
    52'b000000000000000000000000000000000000000001??????????: divisor_shift = 41;
399
    52'b0000000000000000000000000000000000000000001?????????: divisor_shift = 42;
400
    52'b00000000000000000000000000000000000000000001????????: divisor_shift = 43;
401
    52'b000000000000000000000000000000000000000000001???????: divisor_shift = 44;
402
    52'b0000000000000000000000000000000000000000000001??????: divisor_shift = 45;
403
    52'b00000000000000000000000000000000000000000000001?????: divisor_shift = 46;
404
    52'b000000000000000000000000000000000000000000000001????: divisor_shift = 47;
405
    52'b0000000000000000000000000000000000000000000000001???: divisor_shift = 48;
406
    52'b00000000000000000000000000000000000000000000000001??: divisor_shift = 49;
407
    52'b000000000000000000000000000000000000000000000000001?: divisor_shift = 50;
408
    52'b0000000000000000000000000000000000000000000000000001: divisor_shift = 51;
409
    52'b0000000000000000000000000000000000000000000000000000: divisor_shift = 52;
410
 
411
    endcase
412
 
413
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.