OpenCores
URL https://opencores.org/ocsvn/ds1621/ds1621/trunk

Subversion Repositories ds1621

[/] [ds1621/] [trunk/] [sim/] [ncverilog.log] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 akhachat
ncverilog(64): 08.20-s010: (c) Copyright 1995-2009 Cadence Design Systems, Inc.
2
TOOL:   ncverilog       08.20-s010: Started on Apr 02, 2010 at 14:33:38 AMST
3
/et/hw/vendor/cadence/ius/v8.2USR10/tools/bin/64bit/ncverilog
4
        +sv
5
        -f sim_args.v
6
                +libext+.v+.vp
7
                +access+rwc
8
                +incdir+ds1621/files+
9
        -f sim.files
10
                ds1621/files/tb_top.sv
11
                ds1621/files/DS1621_b.sv
12
                ds1621/files/24LC16B.v
13
        +notimingchecks
14
        +nowarn+LIBNOU
15
        +define+NOCHECKS
16
        +define+verbose_0
17
        +define+nobanner
18
        +nclibdirname+.INCA_libs
19
file: ds1621/files/tb_top.sv
20
    default input #1step output #1step;
21
                       |
22
ncvlog: *W,SAWSTP (ds1621/files/tb_top.sv,96|23): Time unit "step" seen in literal - using local precision.
23
    default input #1step output #1step;
24
                                     |
25
ncvlog: *W,SAWSTP (ds1621/files/tb_top.sv,96|37): Time unit "step" seen in literal - using local precision.
26
        module worklib.top:sv
27
                errors: 0, warnings: 2
28
file: ds1621/files/DS1621_b.sv
29
tri1        SDA;
30
              |
31
ncvlog: *W,ILLPDX (ds1621/files/DS1621_b.sv,76|14): Multiple declarations for a port not allowed in module with ANSI list of port declarations (port 'SDA') [12.3.4(IEEE-2001)].
32
        module worklib.DS1621_b:sv
33
                errors: 0, warnings: 1
34
file: ds1621/files/24LC16B.v
35
                Caching library 'worklib' ....... Done
36
        Elaborating the design hierarchy:
37
M24LC16B  u_24LC16B(
38
                  |
39
ncelab: *W,CUVWSI (../files/tb_top.sv,65|18): 3 input ports were not connected:
40
ncelab: (../files/24LC16B.v,81): A0
41
ncelab: (../files/24LC16B.v,81): A1
42
ncelab: (../files/24LC16B.v,81): A2
43
 
44
        Building instance overlay tables: ...............
45
        $readmemh( "DS1621_b_nvm.sv", nv_RAM );
46
                                           |
47
ncelab: *W,MEMODR (../files/DS1621_b.sv,368|43): $readmem default memory order incompatible with IEEE1364.
48
.....
49
        $readmemh( "DS1621_b_nvm.sv", nv_RAM );
50
                                           |
51
ncelab: *W,MEMODR (../files/DS1621_b.sv,368|43): $readmem default memory order incompatible with IEEE1364.
52
 Done
53
        Generating native compiled code:
54
                worklib.DS1621_b:sv <0x0a2e8c6e>
55
                        streams: 103, words: 33942
56
                worklib.DS1621_b:sv <0x2dea07dd>
57
                        streams: 103, words: 33942
58
                worklib.top:sv <0x5508e5e8>
59
                        streams:  18, words: 69160
60
        Loading native compiled code:     .................... Done
61
        Building instance specific data structures.
62
        Design hierarchy summary:
63
                                 Instances  Unique
64
                Modules:                 4       3
65
                Primitives:              1       1
66
                Registers:             165     109
67
                Scalar wires:          111       -
68
                Vectored wires:        152       -
69
                Always blocks:          87      52
70
                Initial blocks:         15      12
71
                Clocking blocks:         1       1
72
                Cont. assignments:     217     202
73
                Pseudo assignments:      4       4
74
                Timing checks:          21       -
75
                Simulation timescale:  1ps
76
        Writing initial simulation snapshot: worklib.top:sv
77
Loading snapshot worklib.top:sv .................... Done
78
ncsim> source /et/hw/vendor/cadence/ius/v8.2USR10/tools/inca/files/ncsimrc
79
ncsim> run
80
*****************************
81
*                           *
82
*    DS1621 simulation      *
83
*                           *
84
*****************************
85
--DS1621 test 01 begin-->
86
----DS1621 sending CFG=03, TH=16'h2800, TL=16'h0A00
87
----DS1621 sending done
88
----DS1621 reading TH
89
----DS1621 TH = 2800
90
----DS1621 start TMP conversion
91
passing 1000 us
92
passing 2000 us
93
----DS1621 T=25.5*C, expecting 1980, TMP = 1980
94
----DS1621 start TMP conversion
95
passing 3000 us
96
passing 4000 us
97
----DS1621 T=-13.0*C, expecting F300, TMP = f300
98
----DS1621 start TMP conversion
99
passing 5000 us
100
passing 6000 us
101
----DS1621 T=-13.5*C, expecting F380, TMP = f380
102
----DS1621 start TMP conversion
103
passing 7000 us
104
passing 8000 us
105
----DS1621 T=130.0*C, expecting 7D00, TMP = 7d00
106
----DS1621 start TMP conversion
107
passing 9000 us
108
passing 10000 us
109
passing 11000 us
110
----DS1621 T=-60.0*C, expecting C900, TMP = c900
111
--DS1621 test 01 end--<
112
 
113
--------------------------------------------------------------------------------
114
--DS1621 test 06 begin-->
115
----DS1621 sending CFG=03, TH=16'h2800, TL=16'h0A00
116
----DS1621 sending done
117
----DS1621 start TMP conversion
118
passing 12000 us
119
passing 13000 us
120
----DS1621 T=25.5*C, expecting 1980, TMP = 1980
121
----DS1621 start TMP conversion
122
passing 14000 us
123
passing 15000 us
124
----DS1621 T=-13.0*C, expecting F300, TMP = f300
125
--DS1621 test 06 end--<
126
 
127
--------------------------------------------------------------------------------
128
--EEPROM test begin-->
129
---- writing      A=12'h001, D=8'h5A
130
passing 16000 us
131
passing 17000 us
132
passing 18000 us
133
passing 19000 us
134
passing 20000 us
135
---- writing done A=12'h001, D=8'h5A
136
 
137
---- reading      A=12'h001
138
---- reading done A=12'h001 contains 5a
139
--EEPROM test end--<
140
 
141
Simulation complete via $finish(1) at time 20899601 NS + 0
142
../files/tb_top.sv:61     $finish;
143
ncsim> exit
144
TOOL:   ncverilog       08.20-s010: Exiting on Apr 02, 2010 at 14:33:41 AMST  (total: 00:00:03)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.