OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.23/] [fpga/] [src/] [dsp/] [display.v] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 hellwig
module display(clk,
2
               dsp_row, dsp_col, dsp_en, dsp_wr,
3
               dsp_wr_data, dsp_rd_data,
4
               hsync, vsync, r, g, b);
5
 
6
    input clk;
7
    input [4:0] dsp_row;
8
    input [6:0] dsp_col;
9
    input dsp_en;
10
    input dsp_wr;
11
    input [15:0] dsp_wr_data;
12
    output [15:0] dsp_rd_data;
13
    output hsync;
14
    output vsync;
15
    output [2:0] r;
16
    output [2:0] g;
17
    output [2:0] b;
18
 
19
  wire pixclk;
20
  wire [4:0] timing_txtrow;
21
  wire [6:0] timing_txtcol;
22
  wire [3:0] timing_chrrow;
23
  wire [2:0] timing_chrcol;
24
  wire timing_blank;
25
  wire timing_hsync;
26
  wire timing_vsync;
27
  wire timing_blink;
28
  wire [7:0] dspmem_attcode;
29
  wire [7:0] dspmem_chrcode;
30
  wire [3:0] dspmem_chrrow;
31
  wire [2:0] dspmem_chrcol;
32
  wire dspmem_blank;
33
  wire dspmem_hsync;
34
  wire dspmem_vsync;
35
  wire dspmem_blink;
36
  wire [7:0] chrgen_attcode;
37
  wire chrgen_pixel;
38
  wire chrgen_blank;
39
  wire chrgen_hsync;
40
  wire chrgen_vsync;
41
  wire chrgen_blink;
42
 
43
  timing timing1(
44
    .clk(clk),
45
    .pixclk(pixclk),
46
    .txtrow(timing_txtrow[4:0]),
47
    .txtcol(timing_txtcol[6:0]),
48
    .chrrow(timing_chrrow[3:0]),
49
    .chrcol(timing_chrcol[2:0]),
50
    .blank(timing_blank),
51
    .hsync(timing_hsync),
52
    .vsync(timing_vsync),
53
    .blink(timing_blink)
54
  );
55
 
56
  dspmem dspmem1(
57
    .rdwr_row(dsp_row[4:0]),
58
    .rdwr_col(dsp_col[6:0]),
59
    .wr_data(dsp_wr_data[15:0]),
60
    .rd_data(dsp_rd_data[15:0]),
61
    .en(dsp_en),
62
    .wr(dsp_wr),
63
    .clk(clk),
64
    .pixclk(pixclk),
65
    .txtrow(timing_txtrow[4:0]),
66
    .txtcol(timing_txtcol[6:0]),
67
    .attcode(dspmem_attcode[7:0]),
68
    .chrcode(dspmem_chrcode[7:0]),
69
    .chrrow_in(timing_chrrow[3:0]),
70
    .chrcol_in(timing_chrcol[2:0]),
71
    .blank_in(timing_blank),
72
    .hsync_in(timing_hsync),
73
    .vsync_in(timing_vsync),
74
    .blink_in(timing_blink),
75
    .chrrow_out(dspmem_chrrow[3:0]),
76
    .chrcol_out(dspmem_chrcol[2:0]),
77
    .blank_out(dspmem_blank),
78
    .hsync_out(dspmem_hsync),
79
    .vsync_out(dspmem_vsync),
80
    .blink_out(dspmem_blink)
81
  );
82
 
83
  chrgen chrgen1(
84
    .clk(clk),
85
    .pixclk(pixclk),
86
    .chrcode(dspmem_chrcode[7:0]),
87
    .chrrow(dspmem_chrrow[3:0]),
88
    .chrcol(dspmem_chrcol[2:0]),
89
    .pixel(chrgen_pixel),
90
    .attcode_in(dspmem_attcode[7:0]),
91
    .blank_in(dspmem_blank),
92
    .hsync_in(dspmem_hsync),
93
    .vsync_in(dspmem_vsync),
94
    .blink_in(dspmem_blink),
95
    .attcode_out(chrgen_attcode[7:0]),
96
    .blank_out(chrgen_blank),
97
    .hsync_out(chrgen_hsync),
98
    .vsync_out(chrgen_vsync),
99
    .blink_out(chrgen_blink)
100
  );
101
 
102
  pixel pixel1(
103
    .clk(clk),
104
    .pixclk(pixclk),
105
    .attcode(chrgen_attcode[7:0]),
106
    .pixel(chrgen_pixel),
107
    .blank(chrgen_blank),
108
    .hsync_in(chrgen_hsync),
109
    .vsync_in(chrgen_vsync),
110
    .blink(chrgen_blink),
111
    .r(r[2:0]),
112
    .g(g[2:0]),
113
    .b(b[2:0]),
114
    .hsync(hsync),
115
    .vsync(vsync)
116
  );
117
 
118
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.