OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.23/] [fpga/] [src/] [eco32.v] - Blame information for rev 248

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 hellwig
//
2 68 hellwig
// eco32.v -- ECO32 top-level description
3 27 hellwig
//
4
 
5
 
6
module eco32(clk_in,
7
             reset_inout_n,
8
             sdram_clk,
9
             sdram_fb,
10
             sdram_cke,
11
             sdram_cs_n,
12
             sdram_ras_n,
13
             sdram_cas_n,
14
             sdram_we_n,
15
             sdram_ba,
16
             sdram_a,
17 125 hellwig
             sdram_udqm,
18
             sdram_ldqm,
19 27 hellwig
             sdram_dq,
20
             flash_ce_n,
21
             flash_oe_n,
22
             flash_we_n,
23
             flash_rst_n,
24
             flash_byte_n,
25
             flash_a,
26
             flash_d,
27 68 hellwig
             vga_hsync,
28
             vga_vsync,
29
             vga_r,
30
             vga_g,
31
             vga_b,
32 27 hellwig
             ps2_clk,
33
             ps2_data,
34
             rs232_0_rxd,
35
             rs232_0_txd,
36
             rs232_1_rxd,
37
             rs232_1_txd,
38
             pbus_d,
39
             pbus_a,
40
             pbus_read_n,
41
             pbus_write_n,
42
             ata_cs0_n,
43
             ata_cs1_n,
44
             ata_intrq,
45
             ata_dmarq,
46
             ata_dmack_n,
47
             ata_iordy,
48
             slot1_cs_n,
49
             slot2_cs_n,
50
             ether_cs_n);
51
 
52
    // clock and reset
53
    input clk_in;
54
    inout reset_inout_n;
55
    // SDRAM
56
    output sdram_clk;
57
    input sdram_fb;
58
    output sdram_cke;
59
    output sdram_cs_n;
60
    output sdram_ras_n;
61
    output sdram_cas_n;
62
    output sdram_we_n;
63
    output [1:0] sdram_ba;
64
    output [12:0] sdram_a;
65 125 hellwig
    output sdram_udqm;
66
    output sdram_ldqm;
67 27 hellwig
    inout [15:0] sdram_dq;
68
    // flash ROM
69
    output flash_ce_n;
70
    output flash_oe_n;
71
    output flash_we_n;
72
    output flash_rst_n;
73
    output flash_byte_n;
74
    output [19:0] flash_a;
75
    input [15:0] flash_d;
76
    // VGA display
77 68 hellwig
    output vga_hsync;
78
    output vga_vsync;
79
    output [2:0] vga_r;
80
    output [2:0] vga_g;
81
    output [2:0] vga_b;
82 27 hellwig
    // keyboard
83
    input ps2_clk;
84
    input ps2_data;
85
    // serial line 0
86
    input rs232_0_rxd;
87
    output rs232_0_txd;
88
    // serial line 1
89
    input rs232_1_rxd;
90
    output rs232_1_txd;
91
    // peripheral bus
92
    inout [15:0] pbus_d;
93
    output [4:0] pbus_a;
94
    output pbus_read_n;
95
    output pbus_write_n;
96
    // ATA adapter
97
    output ata_cs0_n;
98
    output ata_cs1_n;
99
    input ata_intrq;
100
    input ata_dmarq;
101
    output ata_dmack_n;
102
    input ata_iordy;
103
    // expansion slot 1
104
    output slot1_cs_n;
105
    // expansion slot 2
106
    output slot2_cs_n;
107
    // ethernet
108
    output ether_cs_n;
109
 
110
  // clk_reset
111
  wire clk;
112
  wire clk_ok;
113
  wire reset;
114
  // cpu
115
  wire cpu_en;
116
  wire cpu_wr;
117
  wire [1:0] cpu_size;
118
  wire [31:0] cpu_addr;
119
  wire [31:0] cpu_data_in;
120
  wire [31:0] cpu_data_out;
121
  wire cpu_wt;
122
  wire [15:0] cpu_irq;
123
  // ram
124
  wire ram_en;
125
  wire ram_wr;
126
  wire [1:0] ram_size;
127
  wire [24:0] ram_addr;
128
  wire [31:0] ram_data_in;
129
  wire [31:0] ram_data_out;
130
  wire ram_wt;
131
  // rom
132
  wire rom_en;
133
  wire rom_wr;
134
  wire [1:0] rom_size;
135
  wire [20:0] rom_addr;
136
  wire [31:0] rom_data_out;
137
  wire rom_wt;
138 70 hellwig
  // tmr0
139
  wire tmr0_en;
140
  wire tmr0_wr;
141
  wire [3:2] tmr0_addr;
142
  wire [31:0] tmr0_data_in;
143
  wire [31:0] tmr0_data_out;
144
  wire tmr0_wt;
145
  wire tmr0_irq;
146
  // tmr1
147
  wire tmr1_en;
148
  wire tmr1_wr;
149
  wire [3:2] tmr1_addr;
150
  wire [31:0] tmr1_data_in;
151
  wire [31:0] tmr1_data_out;
152
  wire tmr1_wt;
153
  wire tmr1_irq;
154 27 hellwig
  // dsp
155
  wire dsp_en;
156
  wire dsp_wr;
157
  wire [13:2] dsp_addr;
158
  wire [15:0] dsp_data_in;
159
  wire [15:0] dsp_data_out;
160
  wire dsp_wt;
161
  // kbd
162
  wire kbd_en;
163
  wire kbd_wr;
164 67 hellwig
  wire kbd_addr;
165 27 hellwig
  wire [7:0] kbd_data_in;
166
  wire [7:0] kbd_data_out;
167
  wire kbd_wt;
168
  wire kbd_irq;
169
  // ser0
170
  wire ser0_en;
171
  wire ser0_wr;
172
  wire [3:2] ser0_addr;
173
  wire [7:0] ser0_data_in;
174
  wire [7:0] ser0_data_out;
175
  wire ser0_wt;
176
  wire ser0_irq_r;
177
  wire ser0_irq_t;
178
  // ser1
179
  wire ser1_en;
180
  wire ser1_wr;
181
  wire [3:2] ser1_addr;
182
  wire [7:0] ser1_data_in;
183
  wire [7:0] ser1_data_out;
184
  wire ser1_wt;
185
  wire ser1_irq_r;
186
  wire ser1_irq_t;
187
  // dsk
188
  wire dsk_en;
189
  wire dsk_wr;
190
  wire [19:2] dsk_addr;
191
  wire [31:0] dsk_data_in;
192
  wire [31:0] dsk_data_out;
193
  wire dsk_wt;
194
  wire dsk_irq;
195
 
196
  clk_reset clk_reset1(
197
    .clk_in(clk_in),
198
    .reset_inout_n(reset_inout_n),
199
    .sdram_clk(sdram_clk),
200
    .sdram_fb(sdram_fb),
201
    .clk(clk),
202
    .clk_ok(clk_ok),
203
    .reset(reset)
204
  );
205
 
206
  busctrl busctrl1(
207
    // cpu
208
    .cpu_en(cpu_en),
209
    .cpu_wr(cpu_wr),
210
    .cpu_size(cpu_size[1:0]),
211
    .cpu_addr(cpu_addr[31:0]),
212
    .cpu_data_in(cpu_data_in[31:0]),
213
    .cpu_data_out(cpu_data_out[31:0]),
214
    .cpu_wt(cpu_wt),
215
    // ram
216
    .ram_en(ram_en),
217
    .ram_wr(ram_wr),
218
    .ram_size(ram_size[1:0]),
219
    .ram_addr(ram_addr[24:0]),
220
    .ram_data_in(ram_data_in[31:0]),
221
    .ram_data_out(ram_data_out[31:0]),
222
    .ram_wt(ram_wt),
223
    // rom
224
    .rom_en(rom_en),
225
    .rom_wr(rom_wr),
226
    .rom_size(rom_size[1:0]),
227
    .rom_addr(rom_addr[20:0]),
228
    .rom_data_out(rom_data_out[31:0]),
229
    .rom_wt(rom_wt),
230 70 hellwig
    // tmr0
231
    .tmr0_en(tmr0_en),
232
    .tmr0_wr(tmr0_wr),
233
    .tmr0_addr(tmr0_addr[3:2]),
234
    .tmr0_data_in(tmr0_data_in[31:0]),
235
    .tmr0_data_out(tmr0_data_out[31:0]),
236
    .tmr0_wt(tmr0_wt),
237
    // tmr1
238
    .tmr1_en(tmr1_en),
239
    .tmr1_wr(tmr1_wr),
240
    .tmr1_addr(tmr1_addr[3:2]),
241
    .tmr1_data_in(tmr1_data_in[31:0]),
242
    .tmr1_data_out(tmr1_data_out[31:0]),
243
    .tmr1_wt(tmr1_wt),
244 27 hellwig
    // dsp
245
    .dsp_en(dsp_en),
246
    .dsp_wr(dsp_wr),
247
    .dsp_addr(dsp_addr[13:2]),
248
    .dsp_data_in(dsp_data_in[15:0]),
249
    .dsp_data_out(dsp_data_out[15:0]),
250
    .dsp_wt(dsp_wt),
251
    // kbd
252
    .kbd_en(kbd_en),
253
    .kbd_wr(kbd_wr),
254 67 hellwig
    .kbd_addr(kbd_addr),
255 27 hellwig
    .kbd_data_in(kbd_data_in[7:0]),
256
    .kbd_data_out(kbd_data_out[7:0]),
257
    .kbd_wt(kbd_wt),
258
    // ser0
259
    .ser0_en(ser0_en),
260
    .ser0_wr(ser0_wr),
261
    .ser0_addr(ser0_addr[3:2]),
262
    .ser0_data_in(ser0_data_in[7:0]),
263
    .ser0_data_out(ser0_data_out[7:0]),
264
    .ser0_wt(ser0_wt),
265
    // ser1
266
    .ser1_en(ser1_en),
267
    .ser1_wr(ser1_wr),
268
    .ser1_addr(ser1_addr[3:2]),
269
    .ser1_data_in(ser1_data_in[7:0]),
270
    .ser1_data_out(ser1_data_out[7:0]),
271
    .ser1_wt(ser1_wt),
272
    // dsk
273
    .dsk_en(dsk_en),
274
    .dsk_wr(dsk_wr),
275
    .dsk_addr(dsk_addr[19:2]),
276
    .dsk_data_in(dsk_data_in[31:0]),
277
    .dsk_data_out(dsk_data_out[31:0]),
278
    .dsk_wt(dsk_wt)
279
  );
280
 
281
  cpu cpu1(
282
    .clk(clk),
283
    .reset(reset),
284
    .bus_en(cpu_en),
285
    .bus_wr(cpu_wr),
286
    .bus_size(cpu_size[1:0]),
287
    .bus_addr(cpu_addr[31:0]),
288
    .bus_data_in(cpu_data_in[31:0]),
289
    .bus_data_out(cpu_data_out[31:0]),
290
    .bus_wt(cpu_wt),
291
    .irq(cpu_irq[15:0])
292
  );
293
 
294 70 hellwig
  assign cpu_irq[15] = tmr1_irq;
295
  assign cpu_irq[14] = tmr0_irq;
296 27 hellwig
  assign cpu_irq[13] = 1'b0;
297
  assign cpu_irq[12] = 1'b0;
298
  assign cpu_irq[11] = 1'b0;
299
  assign cpu_irq[10] = 1'b0;
300
  assign cpu_irq[ 9] = 1'b0;
301
  assign cpu_irq[ 8] = dsk_irq;
302
  assign cpu_irq[ 7] = 1'b0;
303
  assign cpu_irq[ 6] = 1'b0;
304
  assign cpu_irq[ 5] = 1'b0;
305
  assign cpu_irq[ 4] = kbd_irq;
306
  assign cpu_irq[ 3] = ser1_irq_r;
307
  assign cpu_irq[ 2] = ser1_irq_t;
308
  assign cpu_irq[ 1] = ser0_irq_r;
309
  assign cpu_irq[ 0] = ser0_irq_t;
310
 
311
  ram ram1(
312
    .clk(clk),
313
    .clk_ok(clk_ok),
314
    .reset(reset),
315
    .en(ram_en),
316
    .wr(ram_wr),
317
    .size(ram_size[1:0]),
318
    .addr(ram_addr[24:0]),
319
    .data_in(ram_data_in[31:0]),
320
    .data_out(ram_data_out[31:0]),
321
    .wt(ram_wt),
322
    .sdram_cke(sdram_cke),
323
    .sdram_cs_n(sdram_cs_n),
324
    .sdram_ras_n(sdram_ras_n),
325
    .sdram_cas_n(sdram_cas_n),
326
    .sdram_we_n(sdram_we_n),
327
    .sdram_ba(sdram_ba[1:0]),
328
    .sdram_a(sdram_a[12:0]),
329 125 hellwig
    .sdram_udqm(sdram_udqm),
330
    .sdram_ldqm(sdram_ldqm),
331 27 hellwig
    .sdram_dq(sdram_dq[15:0])
332
  );
333
 
334
  rom rom1(
335
    .clk(clk),
336
    .reset(reset),
337
    .en(rom_en),
338
    .wr(rom_wr),
339
    .size(rom_size[1:0]),
340
    .addr(rom_addr[20:0]),
341
    .data_out(rom_data_out[31:0]),
342
    .wt(rom_wt),
343
    .ce_n(flash_ce_n),
344
    .oe_n(flash_oe_n),
345
    .we_n(flash_we_n),
346
    .rst_n(flash_rst_n),
347
    .byte_n(flash_byte_n),
348
    .a(flash_a[19:0]),
349
    .d(flash_d[15:0])
350
  );
351
 
352 70 hellwig
  tmr tmr1_0(
353 27 hellwig
    .clk(clk),
354
    .reset(reset),
355 70 hellwig
    .en(tmr0_en),
356
    .wr(tmr0_wr),
357
    .addr(tmr0_addr[3:2]),
358
    .data_in(tmr0_data_in[31:0]),
359
    .data_out(tmr0_data_out[31:0]),
360
    .wt(tmr0_wt),
361
    .irq(tmr0_irq)
362 27 hellwig
  );
363
 
364 70 hellwig
  tmr tmr1_1(
365
    .clk(clk),
366
    .reset(reset),
367
    .en(tmr1_en),
368
    .wr(tmr1_wr),
369
    .addr(tmr1_addr[3:2]),
370
    .data_in(tmr1_data_in[31:0]),
371
    .data_out(tmr1_data_out[31:0]),
372
    .wt(tmr1_wt),
373
    .irq(tmr1_irq)
374
  );
375
 
376 27 hellwig
  dsp dsp1(
377
    .clk(clk),
378
    .reset(reset),
379
    .en(dsp_en),
380
    .wr(dsp_wr),
381
    .addr(dsp_addr[13:2]),
382
    .data_in(dsp_data_in[15:0]),
383
    .data_out(dsp_data_out[15:0]),
384
    .wt(dsp_wt),
385 68 hellwig
    .hsync(vga_hsync),
386
    .vsync(vga_vsync),
387
    .r(vga_r[2:0]),
388
    .g(vga_g[2:0]),
389
    .b(vga_b[2:0])
390 27 hellwig
  );
391
 
392
  kbd kbd1(
393
    .clk(clk),
394
    .reset(reset),
395
    .en(kbd_en),
396
    .wr(kbd_wr),
397 67 hellwig
    .addr(kbd_addr),
398 27 hellwig
    .data_in(kbd_data_in[7:0]),
399
    .data_out(kbd_data_out[7:0]),
400
    .wt(kbd_wt),
401 116 hellwig
    .irq(kbd_irq),
402
    .ps2_clk(ps2_clk),
403
    .ps2_data(ps2_data)
404 27 hellwig
  );
405
 
406
  ser ser1_0(
407
    .clk(clk),
408
    .reset(reset),
409
    .en(ser0_en),
410
    .wr(ser0_wr),
411
    .addr(ser0_addr[3:2]),
412
    .data_in(ser0_data_in[7:0]),
413
    .data_out(ser0_data_out[7:0]),
414
    .wt(ser0_wt),
415
    .irq_r(ser0_irq_r),
416
    .irq_t(ser0_irq_t),
417
    .rxd(rs232_0_rxd),
418
    .txd(rs232_0_txd)
419
  );
420
 
421
  ser ser1_1(
422
    .clk(clk),
423
    .reset(reset),
424
    .en(ser1_en),
425
    .wr(ser1_wr),
426
    .addr(ser1_addr[3:2]),
427
    .data_in(ser1_data_in[7:0]),
428
    .data_out(ser1_data_out[7:0]),
429
    .wt(ser1_wt),
430
    .irq_r(ser1_irq_r),
431
    .irq_t(ser1_irq_t),
432
    .rxd(rs232_1_rxd),
433
    .txd(rs232_1_txd)
434
  );
435
 
436
  dsk dsk1(
437
    .clk(clk),
438
    .reset(reset),
439
    .en(dsk_en),
440
    .wr(dsk_wr),
441
    .addr(dsk_addr[19:2]),
442
    .data_in(dsk_data_in[31:0]),
443
    .data_out(dsk_data_out[31:0]),
444
    .wt(dsk_wt),
445
    .irq(dsk_irq),
446
    .ata_d(pbus_d[15:0]),
447
    .ata_a(pbus_a[2:0]),
448
    .ata_cs0_n(ata_cs0_n),
449
    .ata_cs1_n(ata_cs1_n),
450
    .ata_dior_n(pbus_read_n),
451
    .ata_diow_n(pbus_write_n),
452
    .ata_intrq(ata_intrq),
453
    .ata_dmarq(ata_dmarq),
454
    .ata_dmack_n(ata_dmack_n),
455
    .ata_iordy(ata_iordy)
456
  );
457
 
458
  assign pbus_a[4:3] = 2'b00;
459
  assign slot1_cs_n = 1;
460
  assign slot2_cs_n = 1;
461
  assign ether_cs_n = 1;
462
 
463
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.