OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.23/] [fpga/] [src/] [ser/] [rcvbuf.v] - Blame information for rev 168

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 hellwig
//
2
// rcvbuf.v -- serial line receiver buffer
3
//
4
 
5
 
6 27 hellwig
module rcvbuf(clk, reset, read, ready, data_out, serial_in);
7
    input clk;
8
    input reset;
9
    input read;
10
    output reg ready;
11
    output reg [7:0] data_out;
12
    input serial_in;
13
 
14
  wire full;
15
  wire [7:0] parallel_out;
16
 
17
  rcv rcv1(clk, reset, full, parallel_out, serial_in);
18
 
19
  always @(posedge clk) begin
20
    if (reset == 1) begin
21
      ready <= 0;
22
    end else begin
23
      if (full == 1) begin
24
        data_out <= parallel_out;
25
      end
26
      if (full == 1 || read == 1) begin
27
        ready <= full;
28
      end
29
    end
30
  end
31
 
32
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.