OpenCores
URL https://opencores.org/ocsvn/eco32/eco32/trunk

Subversion Repositories eco32

[/] [eco32/] [tags/] [eco32-0.23/] [fpga/] [src/] [ser/] [xmt.v] - Blame information for rev 185

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 hellwig
//
2
// xmt.v -- serial line transmitter
3
//
4
 
5
 
6 27 hellwig
module xmt(clk, reset, load, empty, parallel_in, serial_out);
7
    input clk;
8
    input reset;
9
    input load;
10
    output reg empty;
11
    input [7:0] parallel_in;
12
    output serial_out;
13
 
14
  reg [3:0] state;
15
  reg [8:0] shift;
16
  reg [10:0] count;
17
 
18
  assign serial_out = shift[0];
19
 
20
  always @(posedge clk) begin
21
    if (reset == 1) begin
22
      state <= 4'h0;
23
      shift <= 9'b111111111;
24
      empty <= 1;
25
    end else begin
26
      if (state == 4'h0) begin
27
        if (load == 1) begin
28
          state <= 4'h1;
29
          shift <= { parallel_in, 1'b0 };
30
          count <= 1302;
31
          empty <= 0;
32
        end
33
      end else
34
      if (state == 4'hb) begin
35
        state <= 4'h0;
36
        empty <= 1;
37
      end else begin
38
        if (count == 0) begin
39
          state <= state + 1;
40
          shift[8:0] <= { 1'b1, shift[8:1] };
41
          count <= 1302;
42
        end else begin
43
          count <= count - 1;
44
        end
45
      end
46
    end
47
  end
48
 
49
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.